sequence的启动方式

sequence的两种启动方式

  1. 在TC中实例化sequence,再通过start任务启动。
    比如在tc的main_phase中实例化
function void tc_0::main_phase(uvm_phase phase);
sequence_base seq;
seq = new("seq");
seq.start(env.in_agent.sqr);     // 使用seq的start任务
  1. 通过default_sequence启动
    在build_phase中将某个sequence配置成某个sequencer的动态运行的phase中(如main_phase)的default_sequence, 那么在仿真执行到main_phase的时候,squencer的default_sequence就会启动
function void tc_0::build_phase(uvm_phase phase);
super.build_phase(phase);
`uvm_config_db#(ubm_object_wrapper) :: set(this,
											"env.in_agent.sqr.main_phase",
					  					    "default_sequence", 
										 	sequence_base::type_id::get());
  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值