sequence启动&item发送

一、sequence启动有两种方式:

1. 通过调用start;

2. 通过调用uvm_do系列宏;

一、start方式;

 上述function/task依次执行,其中:

pre_start(): user-definable callback, user不能直接调用;

pre_body(): user-definable callback, 只有当sequence是start方式启动的时候才调用,同时受参数call_pre_post控制;

pre_do(): user-definable callback, 虽然是task, 但是最好不要使用消耗时间的语句,在item randomize之前调用;

mid_do(): sequence item randomize之后,send之前,执行;user-defainabe;

post_do(): user-definable, 在item已经完成之后(driver使用完)调用;通常使用item_done或者put的方式来调用此function;

post_body(): 在body之后执行,只有在start方式启动的时候调用,受参数call_pre_post参数控制;

post_start(): 在post_body()之后执行;

如上的一些func/task, 最好都不要user自己显示的调用;

参数控制部分,可以通过start参数传递:

 2. uvm_do系列宏;

a. uvm_do_with_prior;

这里要注意的是,只是执行parent sequence 的pre/mid/post_do, 并不执行parent sequence;

二、如果是item通过uvm_do系列宏来发送,则执行顺序如下:

 

 这里主要要关注uvm_do和start_item/finish_item的关系;

待补充sequencer中wait_for_grant和send_requeset等大概做了什么事情;

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,假设您在使用SystemVerilog中的UVM框架。要将UVM环境中实例化的agent发送到virtual sequence,您需要遵循以下步骤: 1. 在您的UVM环境中,为每个agent实例化一个句柄。 2. 在您的virtual sequence中,通过这些句柄来访问agent。 3. 在virtual sequence中,通过这些句柄来调用agent中的任务和函数。 以下是一个示例代码片段,用于将UVM环境中实例化的agent发送到virtual sequence中: ```systemverilog class my_virtual_sequence extends uvm_sequence_item; my_agent agent1; my_agent agent2; `uvm_object_utils(my_virtual_sequence) function new(string name = ""); super.new(name); endfunction function void pre_execute(); agent1 = env.agent1; agent2 = env.agent2; endfunction virtual task body(); my_sequence_item item; agent1.my_task(); item = agent2.my_function(); // ... do something with item ... finish_item(item); endtask endclass ``` 在上面的代码中,我们首先为每个agent实例化了一个句柄(即`agent1`和`agent2`)。在`pre_execute()`函数中,我们使用`env`句柄来访问UVM环境中实例化的agent。然后,在`body()`任务中,我们使用这些句柄来调用agent中的任务和函数(即`my_task()`和`my_function()`)。注意,在virtual sequence中,我们必须使用`finish_item()`函数来完成当前的sequence item。 请注意,virtual sequence不是UVM的标准组件,而是一种用户自定义的sequence实现方式。因此,在使用virtual sequence时,需要手动调用`run_test()`函数来启动测试,并手动创建一个test component来管理测试。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值