UVM杂谈-sequence启动的几种方式

1.start

2.宏

3.virtual sequence

(1)第一种用法:vrirtual sequence使用多个sequencer来控制激励的产生和发送,是所有sequence的顶层,它包含所用sequence和对应的sequencer,起到一个调度的作用。

注意:一般会在virtual sequence上层的test的connect phase中将相应的sequencer的句柄进行连接;在virtual sequence中将各个sequence通过start函数挂载在sequencer上;而在virtual sequence上层的test中执行的是virtual_seq.start(null),因为virtual实际上并不需要挂载在任何sequencer上。

//-------------------------------------------------------------------------------------------------------------------------------

这种挂载在null的操作,在寄存器内建的sequence中也会用到,内建的sequence启动是通过将内建sequence中的model变量给到相应寄存器模型的指针来实现的,一般是p_sequencer.rgm。这种启动的方式是不需要start函数中具体指定sequencer,因此在start函数中也是给null

//-------------------------------------------------------------------------------------------------------------------------------

(2)第二种用法:virtual sequence中只有sequence,环境中有一个virtual sequencer。在virtual sequence中通过宏声明p_sequencer,并且在其中通过p_sequencer将virtual sequence中需要挂载的sequence分别挂载在sequencer上。在base_test中将virtual sequencer和实际sequencer的句柄进行连接。然后在实际使用virtual sequence的test中(这个test继承与base_test)将sequence通过config_db机制set到相应的sequencer(virtual sequencer)的main_phase中。

这种方式实际是config_db启动sequence的一种方式,在上面的例子中由于在virtual sequence中已经将sequence挂载在sequencer上,因此在set的时候实际上仅仅需要将sequence通过config_db机制set到virtual sequencer中的main_phase即可。注意set的时候类型是uvm_object_wrapper类型。

这种vritual sequence的启动方式最大的优势就是由于路径是固定的因此通过config_db传递参数的层次结构也是固定的。

(3)第三种用法(实验中的):virtual sequence中有各个sequence,在其中通过宏的方式挂载在sequencer(sequencer通过宏声明子类的p_sequencer),然后在对应的test将sequence挂载在virtual sequencer上。这种方式和第二种类似,只是在最后的启动过程中不一样,第二种是将sequence通过config_db将virtual sequence挂载在virtual sequencer,而这里是通过start函数实现。

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
uvm中,sequence启动有两种方式:default_sequence启动方式和非default_sequence启动方式。 1. default_sequence启动方式:这种方式是最常用的启动sequence的方法,它会隐式地调用start函数来启动sequence。在sequencer的main_phase中,可以使用以下代码启动sequence: ```verilog task my_sequencer::main_phase(phase); seq.starting_phase = phase; seq.start(this); endtask ``` 在sequence的body函数中,可以使用以下代码控制仿真时间并启动sequence: ```verilog task my_sequence::body(); if(starting_phase != null) starting_phase.raise_objection(this); // 执行sequence的内容 if(starting_phase != null) starting_phase.drop_objection(this); endtask ``` 2. 非default_sequence启动方式:在不使用default_sequence启动方式时,可以通过uvm_config_db来配置并启动sequence。以下是一个示例代码: ```verilog uvm_config_db#(uvm_object_wrapper)::set(this,"env.i_agt.sqr.main_phase","default_sequence",my_sequence::type_id::get()); ``` 上述代码将my_sequence作为default_sequence配置到env.i_agt.sqr.main_phase中,并在main_phase中启动sequence。 总结起来,uvm sequence启动方式可以通过default_sequence启动方式或者非default_sequence启动方式来实现。在具体的代码中,可以根据需要调用start函数或者使用uvm_config_db来配置并启动sequence。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [UVM启动sequence方法总结](https://blog.csdn.net/weixin_44969124/article/details/108249095)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【UVMsequence启动方式](https://blog.csdn.net/Holden_Liu/article/details/102757625)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值