带使能端的寄存器(verilog设计)

分享一下带使能端的D触发器的设计

module reg_A(Ain, Clock, R, Q);
input wire Ain;
input wire Clock;
input wire [15:0] R;
output reg [15:0] Q;

initial Q =0;

always@(posedge Clock)
    begin
        if(Ain == 1)
            Q <= R;
        else
            Q <= Q;
            
    end
    
    endmodule

测试代码如下

`timescale 1ns / 1ps

module test_regA(

    );
    reg Ain;
    reg Clock;
    reg [15:0] R;
    wire [15:0] Q;
    
    always #10 Clock = ~Clock;
    
    initial begin
        Clock = 1'b0;
        Ain = 1'b0;
        R = 16'h0000;
        
        #10 Ain = 1'b1;
        R  = 16'h1212;
        
        #10 Ain = 1'b0;
        R = 16'h2233;
        #10 Ain = 1;
        
        end
        
    
    
 reg_A i1 (Ain, Clock, R, Q);
endmodule

使用vivado仿真结果如下

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值