vsim生成VCD波形文件(verilog)

Vsim(ModelSim)生成VCD波形文件(verilog)

两种方法

方法一:

调用ModelSim自己的命令生成,仿真脚本中加入如下一句即可

vcd file mytb.vcd

 

方法二:

调用verilog系统函数生成,直接在testbench中放入如下语句运行仿真即可产生tb.vcd

initial begin

$fdumpfile("tb.vcd");

$dumpvars;

end

 

代码实例

 1 #create work library
 2 vlib work
 3 vmap work work
 4 
 5 #compile
 6 vlog mydesign.v    #编译你的verilog代码
 7 vlog my_tb.v        #编译testbench
 8 
 9 #simulate
10 vsim -voptargs="+acc" +notimingchecks -t 1ps my_tb
11 
12 vcd file mytb.vcd   #生成VCD文件
13 
14 run 100us
15 
16 q
sim.do

 

运行仿真

vsim -c -do sim.do

 参考文献

[1]A Short Intro to ModelSim Verilog Simulator

转载于:https://www.cnblogs.com/OneFri/p/5947705.html

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值