fsdb和vpd格式波形生成并查看

1.fsdb格式 (irun + verdi)

首先,tb文件里添加

initial
begin
	$fsdbDumpfile("test.fsdb");
	$fsdbDumpvars(0,test_top); 
	$fsdbDumpon;
end

1.在cshrc文件设置LD_LIBRARY_PATH环境变量,指向irun调用的PLI,例如:
setevn LD_LIBRARY_PATH /disc/verdi/share/PLI/IUS/LINUX64 $LD_LIBRARY_PATH
2.irun命令

irun -64bit -access +rwc -loadpli1 debpli:novas_pli_boot -f XXXXXXX.f

3.verdi

verdi -sv -f xx.f -top tb -ssf test.fsdb

-top 指定顶层,其他非此模式及其子模块的错误,将被忽略,不影响后续仿真.

2.vpd格式 (vcs+dve)

首先在testbench中加入如下语句:

initial begin
$vcdpluson;
end

1、compiler
命令调用vcs

vcs -full64 -f file.f -debug_pp +vcd+vcdpluson

其中
file.f 是你的设计文件索引
+vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd

3.波形dump的其他写法

待添加

4.参考

VCS建立仿真生成DVE波形
常用终端命令、脚本命令

  • 0
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在 Makefile 中控制 fsdb 波形生成,你可以使用以下步骤: 1. 首先,确保你的工程支持 fsdb 波形生成。这意味着你的设计需要使用 Verilog 或者 VHDL 语言,并且你的仿真工具支持生成 fsdb 波形文件。 2. 在 Makefile 中,你需要定义一个目标(target)来生成 fsdb 波形文件。你可以使用仿真工具提供的命令行选项来实现这一点。例如,如果你使用的是 VCS 仿真工具,你可以使用以下命令行选项来生成 fsdb 波形文件: ``` vcs -fsdb <其他选项> ``` 其中 `<其他选项>` 是你在编译和仿真过程中所使用的其他选项。 3. 在 Makefile 中,你可以创建一个规则(rule)来定义生成 fsdb 波形文件的步骤。这个规则应该依赖于编译和仿真的步骤,并且在执行时调用仿真工具的命令行来生成 fsdb 波形文件。例如: ``` waveform.fsdb: design.v sim.v vcs -fsdb <其他选项> -o simulation_output mv simulation_output waveform.fsdb ``` 这里假设 `design.v` 是你的设计文件,`sim.v` 是你的仿真文件。`waveform.fsdb` 是生成fsdb 波形文件。 4. 最后,在 Makefile 中,你需要定义一个默认目标,该目标依赖于生成 fsdb 波形文件的目标。这样,当你运行 `make` 命令时,Makefile 将自动执行生成 fsdb 波形文件的步骤。例如: ``` all: waveform.fsdb waveform.fsdb: design.v sim.v vcs -fsdb <其他选项> -o simulation_output mv simulation_output waveform.fsdb ``` 这样,当你运行 `make` 命令时,Makefile 将会自动执行生成 fsdb 波形文件的步骤。 请注意,上述示例中的命令行选项和文件名可能需要根据你的实际情况进行修改。此外,如果你使用的是其他仿真工具(例如 ModelSim),你需要查阅相应的文档以了解如何在命令行中生成 fsdb 波形文件
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值