fsdb和vpd格式波形生成并查看
1.fsdb格式 (irun + verdi)
首先,tb文件里添加
initial
begin
$fsdbDumpfile("test.fsdb");
$fsdbDumpvars(0,test_top);
$fsdbDumpon;
end
1.在cshrc文件设置LD_LIBRARY_PATH环境变量,指向irun调用的PLI,例如:
setevn LD_LIBRARY_PATH /disc/verdi/share/PLI/IUS/LINUX64 $LD_LIBRARY_PATH
2.irun命令
irun -64bit -access +rwc -loadpli1 debpli:novas_pli_boot -f XXXXXXX.f
3.verdi
verdi -sv -f xx.f -top tb -ssf test.fsdb
-top 指定顶层,其他非此模式及其子模块的错误,将被忽略,不影响后续仿真.
2.vpd格式 (vcs+dve)
首先在testbench中加入如下语句:
initial begin
$vcdpluson;
end
1、compiler
命令调用vcs
vcs -full64 -f file.f -debug_pp +vcd+vcdpluson
其中
file.f 是你的设计文件索引
+vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件
2、simulate
./simv
生成名为vcdpluson.vpd的文件
3、使用dve查看波形
dve –vpd vcdpluson.vpd
3.波形dump的其他写法
待添加