警告记录 - [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance

[Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'ila_Top_inst' at clock pin 'clk' is different from the actual clock period '5.000', this can lead to different synthesis results.

调试使用ila核,输入时钟200MHz。该警告提示10ns的时钟约束与实际的5ns不同,这可能造成不同的综合结果。

一开始我以为这个约束应该在 IP Sources 中改,于是我修改了IP Sources/ila_Top/Synthesis/ila_Top_ooc.xdc 

create_clock -period 10 -name clk [get_ports clk] 改成 create_clock -period 5 -name clk [get_ports clk]

重新综合依然有这个警告。

在Xilinx官方社区找到相似的问题:How specify clock frequency for VIO IP (Vivado 2016.4)

回复中给了Xilinx文档,让看30-31页:Vivado Design Suite User Guide: Designing with IP (U

评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值