X家FPGA开发流程(至简)

开发工具:

Vivado2018.3
Verilog
ZYNQ7z020
这是我做的完整流程,涉及到初级开发的功能;

1.新建工程:(RTL Project)芯片选型;
2.编写程序:源文件,仿真文件,约束文件(.xdc文件);
3.时序仿真;
4.约束:IO配置有模板(.xdc文件);
5.综合(synthesis);
6.实现生成二进制文件(bitstream),下载验证。
在这里插入图片描述
创建工程部分就不具体说了,下面是创建好工程后的界面
在这里插入图片描述
编写源文件:
在这里插入图片描述
图片上有Add Sources或者“+”都可以添加源文件
在这里插入图片描述
然后有3个选择,分别对应约束文件,设计文件和仿真文件。此处先选择设计文件,也就是我们写的功能。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
新建程序完成,开始写程序。
在这里插入图片描述
时序仿真:
新建仿真文件:
在这里插入图片描述
然后编写仿真文件。写完仿真文件后,在sim_myLed上右击,set as Top,然后进行仿真。
在这里插入图片描述
约束文件:
就是.XDC文件,主要是约束管角,电平这样的。可以直接编写约束文件,这里需要知道自己的开发板对应的IO口。

也可以先点击左侧Schematic
再双击电路图上面的IO Ports
最后再下面选择Package Pin(需要对照原理图的接线)
在这里插入图片描述
好了之后可以看到约束文件:
觉得熟悉之后直接写约束文件会更高效。
在这里插入图片描述
综合:
在这里插入图片描述
生成二进制文件,下载验证:
需要开发板打开电源,安装下载器驱动
在这里插入图片描述

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值