简易VCS+verdi使用【3】

在上一篇简单讲了VCS的使用,以及用VCS的图形界面查看波形。

这一篇讲一下如何用verdi查看波形。和上一篇的路线基本一致,不过不是生成vcd文件,而是生成fsdb文件

1、mux.v文件

module mux(a, b, c, d, en, sel, z);
	input  [3:0] a, b, c, d;
	input        en;
	input  [1:0] sel;
	output [3:0] z;
	reg    [3:0] z;
	always @(en or sel or a or b or c or d)
		begin
		   if(en == 1) z = 4'b0000;
		   else
		   begin
			  case(sel)
				 2'b00 : z = a;
				 2'b01 : z = b;
				 2'b10 : z = c;
				 2'b11 : z = d;
			  endcase
		   end
		end
endmodule

2、test_mux.v文件

//`timescale 1ns/100ps
 
module mux_test();
reg  [3:0] a, b, c, d;
reg        en;
reg  [1:0] sel;
wire [3:0] z;
 
mux u1(a, b, c, d, en, sel, z);
 
//输出fsdb格式的波形文件,使verdi能够查看
initial
begin
   $fsdbDumpfile("test_mux.fsdb");
   $fsdbDumpvars(0,u1);
   $fsdbDumpon();
end
 
initial
begin 
       en = 1;
   #10 en = 0; sel =
  • 10
    点赞
  • 121
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值