Simulink使用之HDL Code使用步骤(一)

这几天比较忙,特殊出差,很久没有更新了。

借此篇博客开创一个新的专栏——Matlab & Simulink,是因为Matlab功能之强大,之前也使用了simulink搭建了一些模型,此篇介绍Simulink中的HDL Code之使用,即,将SImulink的model文件转化为hdl代码输出。

 

Step1:找到HDL-supported blocks,simulink库中名为HDL Coder的库;

Step2:创建simulink文件,并配置模型参数,如下菜单命令窗口中,修改参数。

Step3:添加DUT(待测对象)和测试文件(testbench)作为子系统,其中DUT子系统作为顶层文件,此外的子系统均作为testbench的一部分。

NOTE:testbench部分的模型可以任意,能够使用HDL

  • 3
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值