quartus ii 和 modelsim 编译仿真的流程

暑假要弄fpga,上一次用好像是去年的暑假,感觉流程什么的忘了。所以这次一定要把流程mark一下。

首先在quartus目录下建个文件夹,然后打开quartus在目录下建一个工程,向导记住选好fpga型号,后面什么的先直接过。

然后新建文件,选择verilog或vhdl语言,编写完毕先add to project,保存的时候记住文件名要跟模块名一样(好像不用和项目名称一样)。

然后对源文件进行编译。


一般最好将源文件右击,set as top-level entity,不然会报错:

top-level entity is not defined


接下来要编写测试文件testbench,也是新建一个文件,保存,编译。

然后要进行仿真的设置,最好一次性设置好,以后就不用在管它了。

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值