FPGA中RAM和reg区别

FPGA中RAM和reg的区别:

FPGA中RAM分为block RAM和 distributed RAM,block RAM是嵌入的RAM块,譬如M4K,M9K等;distributed RAM是分布式RAM,即reg和查找表。

块RAM读取数据总要延后一个时钟(SRAM特性),RAM里一次只能访问一个地址的数据,数据宽度不能设置太大。

分布式RAM,即reg和查找表。比如设置一个reg[7:0],mem[0:255],在一个时钟里可以直接访问所有的数据。知道地址可以立即读取数据。


  • 6
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值