ModelSim查看SystemC产生的波形

Windows环境下可以利用Modelsim来查看VCD文件。以下详述用Modelsim查看VCD文件的流程。 在此之前认为用户熟练使用Modelsim。

1、创建Modelsim新工程。

2、将SystemCVS2008产生的VCD文件(编译通过)添加至这个新工程里头来。

3、输入命令:vcd2wlf  <原文件名字>.vcd  <转化后文件名字>.wlf ,将VCD文件转换成Modelsim可以读取的wlf文件。

4、打开<转化后文件名字>.wlf文件,就可以查看仿真波形了。或者把<转化后文件名字>.wlf文件添加到工程里,在Workspace双击该文件即可查看仿真波形。


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值