初始化储存器

本文介绍了Verilog中使用$readmemb和$readmemh系统任务初始化储存器的方法,包括不同参数的用法,并通过实例展示了如何根据数据文件初始化储存器。
摘要由CSDN通过智能技术生成

Verilog提供了非常有用的系统任务来根据数据文件对储存器进行初始化。有两个任务可用来读取二进制数或者十六进制数。关键字$readmemb$readmemh用于初始化储存器。

用法:

$readmemb("<file_name>", <memory_name>);

$readmemb("<file_name>", <memory_name>, <start_addr>);

$readmemb("<file_name>", <memory_name>, <start_addr>, <finish_addr>);

$readmemh的语法与之相同。

<file_name><memory_name>是必须的。<start_addr><finish_addr>

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值