STL之std::queue

std::queue没有提供clear的接口。我们可以如下实现:

方法一

直接用空的队列对象赋值

queue<int> q;

q = queue<int>();

方法二

遍历队列

while (!q.empty())
{
     q.pop();
}

方法三

使用swap,这种是最高效的,定义clear函数,保持STL容器的标准。

void clear(queue<int>& q) 
{
    queue<int> empty;
    swap(empty, q);
}

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值