自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(52)
  • 收藏
  • 关注

原创 C++ 类(一)

【代码】C++ 类(一)

2024-04-14 17:09:26 71

原创 下载32单片机的各种link速度对比

2024-03-20 08:57:35 101

原创 性价比的ST芯片

STM32H750VBT6当STM32H743VIT6用是完全兼容的,当前Y版和V版的H750都还有2MB容量可用。STM32H750XB当STM32H743XI用是完全兼容的,当前Y版和V版的H750都还有2MB容量可用。

2024-03-19 10:35:11 353

原创 FPGA开发

实现顺序逻辑,实现不同状态进行切换。

2024-02-04 23:23:20 1837

原创 Altium Designer的学习

打开原理图库:原理图库就是为了组成原理图的库 就是元件的图像和引脚。在绘制图形的时候设置成10mil,为了在原理图中显得不那么大。就是放引脚的时候设置100,其它时间设置为10mil。在绘制引脚的时候设置成100mil,为了引脚对齐。复制前是100mil,复制后改成10mil。多个部分的器件 多park。双击边框,调整图纸大小。设置栅格 10mil。对位号和容值进行填写。

2024-01-31 22:16:40 448

原创 模拟电路之运放

2.调整Vo的输出,加入稳压管,和保护电阻。小幅度波动时候不受影响,除非超过一点范围。当输入信号慢慢减压到—UT,就变成正电压。当输入信号慢慢增加到UT,就变成负电压。正反馈,串联电阻接地。

2024-01-31 21:22:32 451

原创 VM无法连接U盘

搜索 usb.restrictions.defaultAllow的选项。讲 FALSE 改成 TRUE。打开Ubuntu系统的文件夹。找到以 .vmx结尾的文件。

2024-01-12 10:27:52 343

原创 结构体 位域

我们都知道在C语言中,char是8bit, bool是1bit,那3bit这么定义呢 在结构体里面可利用位域实现任意bit的定义(小于8bit),冒号后面的数字就是多少bit的大小。这样能够节省内存,能用在很多的开关量的定义,当然如果在外层定义一个联合体,在并行定义一个变量,就能实现直接访问每个bit拼起来的数据,这可以实现多按键的同时按下判断,每个bit的拼接和拆散。

2024-01-10 17:21:02 381

原创 shall脚本

注意 || 的两边和中括号里的开始和结束都有空格。

2024-01-07 22:24:21 426

原创 32单片机按键扫描 实现长短按

【代码】32单片机按键扫描 实现长短按。

2023-12-28 09:40:55 1479 1

原创 FreeRTOS的学习

临界段,用一句话概括就是一段在执行时不能被中断的代码段。在FreeRTOS中,临界段最常出现的地方就是对全局变量的操作。全局变量就像是一个靶子,谁都可以对其开枪,但是有一人开枪,其他人就不能开枪,否则就不知道是谁命中了靶子。那么什么情况下临界段会被打断?一个是系统调度,还有一个就是外部中断。在FreeRTOS中,系统调度最终也是产生PendSV中断,在PendSV Handler中实现任务的切换,所以还是可以归结为中断。既然这样,FreeRTOS对临界段的保护最终还是回到对中断的开和关的控制。

2023-12-26 11:41:25 960

原创 立创EDA与设计技巧

注意电源走向与结构,每个模块的电源,要先经过去耦电容,再供电模块,去耦电容要靠经供电端口。画原理图 ——> 画原理图 ——> 布线 ——> 铺铜。按键与CPU之间串联电阻(1K),当端口输出高电平,不至于直接接地,也起到隔离的作用。先分散元器件,根据原理图选取模块的不同的元器件,原理图驱动单元模块化(模块化设计)差分线尽量靠近,差分线间距最好不要超过2倍的线宽。按键间两端加入电容(104),起到硬件防抖的作用。晶振的起振电容要晶振,晶振下面有完整的铺铜。先隐藏地的飞线,铺完铜,再关注地线。

2023-12-25 12:21:24 638

原创 STM32 对GPIO的操作

【代码】STM32 对GPIO的操作。

2023-12-20 09:31:16 344

原创 FreeRTOS CubeMX卡在只运行第一个任务

2.中断中不能使用HAL_Delay(1000);

2023-12-13 13:39:38 276

原创 CubeMX该工程名字

KeilCUBEMX

2023-12-10 17:46:14 110

原创 LVGL的学习

该LVGL基于LVGL的8.2版本开关的控件Demo。

2023-12-08 10:44:32 88

原创 CubeMx HAL STM32H750 1ms

timer(单位US)=(分频值*重装值) / 定时器所在总线的时钟频率(单位MHZ)

2023-12-07 21:04:25 93

原创 STM32H7使用FPU与DSP

具体开启代码:写在初始化代码。

2023-12-04 10:04:11 819

原创 CubeMX驱动 EEPROM,ATAT24CXX

CubeMX里面端口配置成输出就行。

2023-12-03 16:19:37 66

原创 关于stm32的外挂存储

W25Q256JVFIQ:32MB JV结尾133MHZ FV 103MHZ。大小为 32 MB。

2023-12-03 12:07:13 45

原创 在 ESP—IDF上编写Esp32C3之NVS非易失性存储(二)

NVS用于在flash 中存储字符串,将字符串转换成数值存储,比如ESP要存储wifi的名字和密码,以及每次上电连上上一次的WIFI,以及改变WIFI后保存相关信息。”,如果失败可调用“nvs_flash_erase()”擦除NVS,然后再次初始化。形参方面,第一个是表的句柄,第二个是键值,第三个则是对应的变量的指针,如“nvs_i8”是个“int8_t”类型的变量。读写不同的数据类型需要调用不同的API,类似的API有:“nvs_get_i16”,“nvs_get_u32”等等。

2023-11-02 21:12:27 326

原创 在 ESP—IDF上编写Esp32C3之GPIO(一)

【代码】在 ESP—IDF上编写Esp32C3之GPIO(一)

2023-11-02 17:12:36 111

原创 基于定时器和sys的任务调度

【代码】基于定时器和sys的任务调度。

2023-10-07 15:09:27 61

原创 Linux的基本操作—nanopc t4

这里就要用到环境变量PATH,将软件的地址添加到环境变量中,系统就能根据指定路径找到软件,window在系统就能添加环境变量,而在linux中在 .~/.bashrc 中添加环境变量,(.bashrc 中的 .~表示在家的目录中 . 表示为隐藏文件,用于储存环境变量和),当把系统安装在16G的emmc的时候,发现16G的空间根本够使用,28元买了一个128G PCIE3.0的固态,那么如何才能以后安装软件和使用都在NVME的固态里面呢?安装完成后,软件通常会安装在默认的位置。

2023-09-19 16:02:14 60

原创 CLion开发STM32添加源文件和头文件

左侧找到 CMakeLists_template.txt 文件打开。# 文件均已当前工程为根目录 头文件路径在此之上进行访问。# 添加源文件 GLOB_RECURSE表示执行递归查找。# 可添加多个头文件路径 不同的路径用空格分开。# *.*为通配符,意为添加文件夹下的所有文件。# 添加头文件路径 头文件的搜索目录。其中为自己的文件取个名字。

2023-07-24 09:41:55 947 1

原创 关于嵌入式模块化编程要点

模块化编程要求生成相同名字的 .c 源文件 和 .h 头文件其中 . h 是在引用该文件预编译时候展开的。(变量声明,函数声明,)其中 . c 是在引用该文件编译后链接使用的。(完整函数,变量命名)

2023-06-20 08:25:56 119

原创 printf的计算和打印的顺序

/运算 [ b ] <- [ b=3 b=b+1=4 ] <- [ b=b+1=3 b ] <- [ b=1 b=b+1=2 ] <- [ b=b+1=1 b ] 输出 (4 3 4 1 4 )printf("%d,%d,%d\n",a++,++b,a);// 运算 [ a=0,a=a+1=1 ] <- [ b=b+1=1 b ] <- [ a ] 输出 ( 0 1 1 )//printf的运行顺序。

2023-05-20 13:51:22 797

原创 STM32的舵机的编写

STM32的舵机的编写

2023-05-03 02:26:31 336

原创 STM32的EC11旋转编码器的编写

STM32的EC11旋转编码器的编写

2023-05-03 02:25:20 669

原创 关于devC++的结果输出0的原因

关于devC++的结果输出0的原因

2023-04-03 19:05:10 1503

原创 51单片机之按键与LED

51单片机之按键与LED

2023-02-13 17:03:27 73

原创 五大总线协议

五大总线协议

2023-01-07 00:30:18 769

原创 51学习记录

学习单片机记录

2022-12-31 16:28:30 303

原创 Auto.js

Auto.js

2022-12-23 19:05:05 2420

原创 修改hosts提升访问速度

修改hosts提升访问速度

2022-12-15 12:06:06 514

原创 ch32f103点亮灯

ch32f103点亮灯

2022-11-18 10:17:43 737

原创 CH32F103C8T6使用

CH32F103C8T6使用

2022-11-17 07:40:11 1603

原创 c语言利用二分法求值,处理数学问题

c语言利用二分法求值,处理数学问题

2022-11-04 22:16:23 230

原创 动态内存分配和变长数组和链表

动态内存分配和变长数组和链表

2022-10-30 11:43:26 108

原创 枚举与结构体

枚举与结构体

2022-10-28 12:46:19 254

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除