Verilog门级实现二选一多路选择器

使用门级电路实现1位二选一多路选择器。

通过真值表设计电路:

 

使用Verilog门级实现:

module MUX2X1(A0,A1,S,Y);//命名
input A0,A1,S;//输入,全部为1位
output Y;//输出为1位
not i0(S_n,S);//非门
nand i1(A0_S,A0,S_n);//与非门,输出命名为A0_S
nand i2(A1_S,A1,S);//与非门,输出命名为A1_S
nand i3(Y,A0_S,A1_S);//与非门,输出为Y

endmodule

测试代码:

`timescale 1ns/1ns
module MUX2X1_tb;
reg A0,A1,S;
wire Y;
initial
begin
S=1;
A0=0;
A1=0;
#1000
S=0;
end

always #100 A0=~A0;
always #200 A1=~A1;

MUX2X1 mux2x1(//将该代码的信号输入MUX2X1
.A1(A1),
.A0(A0),
.S(S),
.Y(Y)
);
endmodule

 

  • 4
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值