Sublime Text 4加载Verilog语法环境及Vivado关联Sublime

本文介绍了如何在Sublime Text 4中加载Verilog语法环境,包括安装Package Control和Verilog插件,以及详细步骤指导Vivado如何关联Sublime Text,实现代码编辑器与FPGA开发工具的无缝对接。
摘要由CSDN通过智能技术生成

Sublime Text 4加载Verilog语法环境

1.首先要安装Sublime的灵魂插件Package Control。安装步骤参考官网,有三种

Installation - Package Control

2.安装完成后,在Sublime中打开package control

preferences --> package control,然后输入并找到 Install Package,单击

3.在弹出来的搜索框输入verilog,点击即可安装

4.在View --> Syntax中可以看到Verilog了。

Vivado关联Sublime

1.打开Vivado,setting-->Text Edditer-->Custom Edditer,然后如下图所示:

2.两个中括号不用管他,把前面的内容改为你的Sublime安装路径,后面具体到.exe

然后apply-->OK

至此,Vivado关联Sublime就完成了。

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

kejingwen64

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值