【FPGA】Questasim使用入门指导

前言

该指导的目标人群是刚使用questasim的小白,指导小白怎么通过questasim新建工程,并进行仿真看波形,苦于自己学习时,网上资料不完整,从新建工程到把波形调出来用了一段时间,特此整理,若你使用questasim已经比较熟练可以跳过,省下时间,或有时间可以批阅,针对写的不对的地方,欢迎批评指正,谢谢!

questasim版本:10.6C

windows版本:win10

step1:新建工程

step2:创建工程名,注意不能包含中文名

step3:若已经存在了工程文件,直接选择add existing file即可,否则creat new file用来创建新文件

step4:建议将原工程中的文件copy to project direcory中,从而避免原文件路径中包含中文名

step5:首先将Verilog文件编译完,然后再把对应的sv文件编译

step6:编译成功之后,如下图所示,然后就可以查看相关波形

step7:选library,然后选择默认的work库

step8:选择tb文件之后,右键选择simulate without optimization,即不让软件优化代码

step9:点击仿真之后,出现的界面可能显示不太全,所以可以通过Layout,把界面Reset掉,然后再点simulate把默认的界面调出来

step10:默认的界面如下所示,一开始可以把processes窗口关掉

step11:点击sim界面,可以看到sv中数据的层次,我们重点关注的是DUT,可以直接点击DUT,右边就显示出来DUT里面的相关信号

step12:把DUT里面需要关注的信号,添加到右边波形窗口

step13:添加波形之后如下图所示

step14:在命令行窗口输入运行时间,就可以在波形窗口直接看到波形

step15:若觉得波形窗口比较小,可以通过波形窗口的+来将该窗口调大

转存失败重新上传取消

至此,就把心心念的波形调出来了,刚开始用questasim时,总是想一下子把波形调出来,这样比较直观些,但是一直没看到比较详细的指导文档,所以整理出来,方便大家一起学习。

另外个人新建了微信公众号:芯路心语,作为一个转行到芯片验证的小白,想通过该公众号记录点滴,然后给想转行的人做个参考,对于对芯片验证比较感兴趣的同学,欢迎加入大家庭,定期会分享个人学习心得体会。

  • 6
    点赞
  • 61
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

惜缘若水

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值