verilog存储器读写操作

1.文件打开和关闭:

首先定义integer指针,然后调用$fopen(file_name,mode)任务,不需要文件时,调用$fopen(file_name)

常用mode包括:

“w"打开文件并从文件头开始写,如果不存在就创建文件。

“w+"打开文件并从文件头开始读写,如果不存在就创建文件

"a"打开文件并从文件末尾开始写,如果不存在就创建文件

“a+"打开文件并从文件末尾开始读写,如果不存在就创建文件

2.输出到文件:显示任务前加f,调用格式:

$fdisplay(文件指针,"显示内容",显示变量),再如$fmonitor(…)

除了多一个文件指针外,其他与在控制台输出的任务是用方法一致。

3.读存储器操作

$readmemh读十六进制文件,$readmemb读二进制文件

$readmemh (" file_name", <memory_name>);

$readmemh (" file_name", <memory_name>, <start_addr>);

$readmemh (" file_name", <memory_name>, <start_addr>, <finish_addr>);

4.输出存储器中数据写入一个文件中

例如:

module readmem;
reg [7:0] mem[7:0];
reg [2:0] i;
integer file;
initial
begin
file = $fopen("memory.txt","w");
$readmemb("memoryb.txt",mem,4,0);// 从文本的读取数据向mem[4]开始写入,知道写到mem[0]
for(i =0;i<7;i= i+1)
begin
$display("mem[%d] = %b",i,mem[i]);
$fdisplay(file,"mem[%d] = %b",i,mem[i]);
end

end

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值