Verilog HDL基本结构行为描述

   问题提出数据选择器是一种可以从多个输入信号中选择一个信号作为输出的器件。最简单的电路为二选一。使用Veri log设计实现二选一数据选择器使用软件仿真验证代码的正确性并使用FPGA验证结果。
   1.实验电路原理图
   ![在这里插入图片描述](https://img-blog.csdnimg.cn/142fb23402a44f238df9cda4cfd0e621.jpg)
   2. 使用Quartus 8.0建立项目建立过程和注意事项见前两周的实验指导选择器件时随便指定一个。这里的项目名称为mux21。 切记项目保存路径和实验过程中新建的文件保存路都不要出现中文
   3.  项目建好后新建Veri log

选择“Fi le”——“New”——“Veri log HDLfi le”。输入以下代码
在这里插入图片描述
保存veri log文件并命名为mux21。上述方式为行为行描述方式。

  1. 编译项目。 “Processing”——“Start Compi lation”

  2. 功能仿真

编译通过后新建波形仿真文件 “Fi le”——“New”选择“Vector Waveform Fi le”如下图所示
在这里插入图片描述
6.接下来进行一下设置
在这里插入图片描述

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值