DAC的输出速率问题

DAC的输出速率问题
在这里插入图片描述
注意:启用DACx通道后,相应的GPIO引脚(PA4或PA5)将自动连接到DAC analoq输出(DAC outx)。为了避免寄生干扰和额外的功耗,应将引脚PA4或PA5设置为模拟输入(AIN)。

注意:1. 不能在ENx为’1’时改变TSELx[2:0]位。
2. 如果选择软件触发,数据从寄存器DAC_DHRx传送到寄存器DAC_DORx只需要一个APB1时钟周期。
在这里插入图片描述

在这里插入图片描述
这里看到setting的时间典型值为3us,最大值是4us,如果安装最大情况计算
输出频率 = 1s / 4us = 250k hz
在回头看看,官方画的时序图,也是3个周期多一点,还是很严禁的

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
PWM调制(Pulse Width Modulation)是一种通过调节脉冲宽度来模拟模拟信号的方法。DAC(Digital-to-Analog Converter)是一种用于将数字信号转换为模拟信号的设备。 在PWM调制DAC输出中,数字信号通过PWM技术生成脉冲信号,然后通过DAC将脉冲信号转换为相应的模拟信号。具体的实现步骤如下: 1. 选择合适的PWM频率和分辨率:PWM频率应根据实际需求选择,分辨率决定了模拟信号的精度。较高的PWM频率和分辨率可以提供更精确的模拟输出。 2. 生成PWM信号:使用微控制器或其他数字电路生成PWM信号。PWM信号的周期代表一个完整的周期,而脉冲宽度表示模拟信号的幅值。 3. 设定PWM信号频率和分辨率:根据实际需求,设定PWM信号的频率和分辨率。频率决定了PWM信号的重复速率,分辨率决定了模拟信号的精度。 4. 设定模拟信号幅值范围:根据具体应用需求,设定模拟信号的幅值范围。 5. 进行PWM调制:根据所需的模拟信号值,调整PWM信号的脉冲宽度。脉冲宽度越大,模拟信号的幅值越高;脉冲宽度越小,模拟信号的幅值越低。 6. 使用DAC转换:将PWM信号输入到DAC中进行数字到模拟转换。DAC会根据PWM信号的脉冲宽度来输出相应的模拟信号。 通过以上步骤,可以实现使用PWM调制DAC输出模拟信号。这种方法常用于控制系统、音频处理、电源管理等领域的应用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值