FPGA实验1:简单逻辑电路

一、实验目的及要求

  1. 学习Create-SOPC实验平台的使用方法;
  2. 熟悉Quartus II 软件平台和使用 VHDL 语言设计电路的方法;
  3. 学习简单逻辑电路的设计、仿真和硬件测试。

二、实验原理

运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。

三、实验内容和步骤

  1. 创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example
  2. 运行 Quartus Ⅱ软件。
  3. 创建一个VHDL设计文件:File -> New,在 Device Design Files 中选择VHDL File。在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
  4. 创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。点击NEXT,再在弹出窗中点击NEXT,选择目标器件:Cyclone 系列 EP1C20F324C8 芯片。这个是9.0版本的,其他高于9.0版本的可能就没有这个芯片。

  5. 编译:选择 Processing->Start Compilation命令,对此工程进行逻辑分析、综合适配、时序分析等。如果设计正确则完全通过各种编译,如果有错误则根据报错信息返回 h_adder.vhd 进行修改,直至完全通过编译为止。
  6. 创建一个仿真波形文件: File -> New,在 Other Files 中选择Vector Waveform File

  7. 以扩展名为.VWF,文件名与编译的文件名相同,保存创建的仿真波形文件,如h_adder.vwf。
  8. 输入引脚:Name 栏中点击鼠标右键,选择 “Insert Node or Bus”,在其对话框中点击 “Node Finder” 按钮,弹出 “Node Finder” 对话框,Named:*;Filter :Pin:all,点击“List”,列出所有引脚,在其中选择需要仿真的引脚

  9. 对所有input引脚赋初值(所有可能出现的信号组合状态都要求存在),对所有output引脚赋随机值后,保存仿真波形文件

  10. 开始仿真:选择 Processing->Start Simulation若仿真没有出错,则在完成仿真后,可以看到仿真结果波形,观察输入、输出波形逻辑关系是否是与设计要求相符,波形仿真无误后进行下步操作。
  11. 选择 Assignments -> Assignments Editor,在Assignments Editor 窗口中选择 Pin 标签页,再按下表分配引脚,如图所示:
  12. 引脚名称

    引脚编号

    连接网络

    引脚名称

    引脚编号

    连接网络

    a

    PIN_N14

    KEY2

    co

    PIN_N15

    LED2

    b

    PIN_V11

    KEY3

    so

    PIN_U11

    LED3

  13. 重编译:选择 Processing->Start Compilation命令,重新编译,完成后形成可配置到FPGA的 h_adder.sof 文件和配置到外部存储器的h_adder.pof文件。

  14.编程下载:使用Create-SOPC2000实验系统及SOPC 开发板,将ByteBlaster II 下载电缆插入SOPC 开发板的JTAG 下载接口中。仔细检查确保接线无误后打开电源。在Quartus II 软件中,选择Tools/Programmer 菜单,出现如图1-8所示的编程配置界面。在”Mode”中选择JTAG,点击”Add File”按钮添加需要配置的SOF 文件,选中Program/Configure,点击”Start”按钮就可以对芯片进行配置。

  15.测试:配置完成后按动KEY2和KEY3,改变a、b 的输入电平观察输出结果验证设计是否正确。

四、实验代码

  • 23
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

x陌北x

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值