LPM参数化宏模块列表

LPM模块分类:

模块分类 宏单元 简要说明
门单元模块 lpm_and 参数化与门
  lpm_bustri 参数化三态缓冲器
  lpm_clshift 参数化组合逻辑移位器
  lpm_constant 参数化常数产生器
  lpm_decode 参数化译码器
  lpm_inv 参数化反向器
 

 

lpm_mux 参数化多路选择器
  busmux 参数化总线选择器
  mux 多路选择器
  lpm_or 参数化或门
  lpm_xor 参数化异或门
算术运算模块 lpm_abs 参数化绝对值运算
  lpm_add_sub 参数化的加/减法器
  lpm_compare 参数化比较器
  lpm_counter 参数化计数器
  lpm_mult 参数化乘法器
存储器模块 lpm_ff 参数化D触发器
 

 

lpm_latch 参数化锁存器
  lpm_ram_dq 输入输出分开的参数化RAM
  lpm_ram_io 输入输出复用的参数化RAM
  lpm_rom 参数化ROM
  lpm_shitreg 参数化移位寄存器
  csfifo class="style8">参数化先进先出队列
  csdpram 参数化双口RAM
其它功能模块 pll 参数化锁相环电路
  ntsc< NTSC图象控制信号产生器

 

MegaWizard

Megafunction(s)

Comments

ALTACCUMULATE

altaccumulate

Parameterized accumulator megafunction.

参数化的寄存器模块

ALTECC

altecc_decoder

Error correction code (ECC) megafunction.

数据代码纠正模块

altecc_encoder

Error correction code (ECC) megafunction.

数据代码纠正模块

ALTFP_ADD_SUB

altfp_add_sub

Floating-point adder/subtractor megafunction.

浮点、加法器/减法器模块

ALTFP_COMPARE

altfp_compare

Parameterized floating-point comparator megafunction.

参数化的浮点比较器模块

ALTFP_CONVERT

altfp_convert

Parameterized floating-point conversion megafunction.

参数化的浮点转换器模块

<
  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值