自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(48)
  • 收藏
  • 关注

原创 Android Studio学习笔记

AS学习笔记(基础)

2024-04-23 00:30:03 1393 4

原创 Typora+PicGo+gitee的图床配置以及上传图片失败,报错Error: You must be logged in to use.的解决方法

来解决用typora写markdown笔记,然后复制粘贴到CSDN博客上来发布博客,但是照片却是本地照片的问题。然后因为之前repo名字改了一次,所以下面这次算是还原,改为个人空间地址(仓库链接)/新建的仓库的名字。这是为了解决了CSDN导入MarkDown文件由于防盗链机制导致图片失效的烦恼!下载完之后,要想使用picGo的插件,需要下载NodeJs,按教程来。然后新建立gitee仓库,改为开源模式,并建立个人令牌。然后下载picGo,按照以下方式进行配置,然后。首先搜索原因及解决方案。

2024-04-22 23:47:46 399 1

原创 算法设计与分析实验六:分支限界法

通过这次实验我理解了分支限定法采用广度优先方法,以最小耗费优先方式搜索解空间树,求解目标是找出满足约束条件的一个解,是从众多分支的路径中,同时地毯式搜索找到符合结果的路径或路径集的方法。假设有n个任务由k个可并行工作的机器完成,完成任务i需要的时间为ti。设计一个算法找出完成这n个任务的最佳调度,使得完成全部任务的时间最早。对任意给定的整数n和k,以及完成任务i需要的时间为ti(i=1~n)。设计一个优先队列式分支界限界法,计算完成这n个任务的最佳调度。第2 行的n个正整数是完成n个任务需要的时间。

2024-04-22 13:49:17 354

原创 算法设计与分析实验五:回溯法

通过这次实验我理解了回溯法实际上是一个决策树的遍历过程,是个多叉树的遍历过程,它的基本思想是按照深度优先搜索的策略,从根结点出发深度探索解空间树。当探索到某一结点时,要先判断该结点是否包含问题的解,如果包含,就从该结点出发继续探索下去,如果该结点不包含问题的解,则逐层向其祖先结点回溯。用回溯法求问题的所有解时,要回溯到根,且根结点的所有可行的子树都要已被搜索遍才结束。设某一机器由n个部件组成,每一种部件都可以从m个不同的供应商处购得,设wij是从供应商j处购得的部件i的重量,cij是相应的价格。

2024-04-22 13:48:19 304

原创 算法设计与分析实验四:贪心算法

通过这次实验我理解了贪心算法策略是在对问题求解时,不断取局部最优解,进而找到问题最优解,贪心算法适用于解决优化问题,是采用了自顶向下的思想,将问题规模逐渐缩小,其可以解决的问题通常有两个性质:最优子结构和贪心选择性质。贪心算法是采用了自顶向下的思想,将问题规模逐渐缩小,其可以解决的问题通常有两个性质:最优子结构和贪心选择性质。输入数据的第一行有1 个正整数k(k≤10000),表示有k个待安排的活动。接下来的k行中,每行有2个正整数,分别表示k个待安排的活动开始时间和结束时间。//记录会议的结束时间。

2024-04-22 13:47:23 462

原创 算法设计与分析实验报告:实验三 动态规划

由于各作业的特点和机器的性能关系,很可能对于某些i,有ai>=bi,而对于某些j,j≠i,有aj<bj。设计一个动态规划算法,使得这2台机器处理完这n个作业的时间最短(从任何一台机器开工到最后一台机器停工的总时间)。研究一个实例: (a1,a2,a3,a4,a5,a6)=(2,5,7,10,5,2);算法设计:对于给定的2 台处理机A 和B处理n 个作业,找出一个最优调度方案,使2台机器处理完这n 个作业的时间最短。

2024-04-22 13:46:04 457

原创 算法设计与分析实验报告:实验二 递归与分治策略

P39,算法实现题2-1.众数问题:给定含有n个元素的多重集合S,每个元素在S中出现的次数称为该元素的重数。例如,S={1,2,2,2,3,5}。多重集S的众数是2,其重数为3。通过递归分治算法能够将一个难以直接解决的大问题分割成一些规模比较小的相同问题,以便各个击破,能够使函数的定义和算法描述更加简捷且更加容易理解。else if (b[i] == max && a[i] <most)//次数相等且数字小。对于给定的由n个自然数组成的多重集S,计算S的众数及其重数。数据的逻辑结构:数组a[],b[]

2024-04-22 13:44:49 481

原创 数学模型实验:实验12-1 轧钢中的浪费(编程、求解、验证)实验12-2 报童的诀窍(编程)

数学模型实验:实验12-1 轧钢中的浪费(编程、求解、验证)实验12-2 报童的诀窍(编程)

2024-04-22 13:43:13 793

原创 数学模型实验十一:11-1循环比赛的名次-------双向连通竞赛图(4顶点)的名次排序11-2公平的席位分配(参照惯例)11-3公平的席位分配(Q值方法)

11-1循环比赛的名次-------双向连通竞赛图(4顶点)的名次排序11-2公平的席位分配(参照惯例)11-3公平的席位分配(Q值方法)

2024-04-22 13:33:38 538

原创 数学模型实验七:奶制品的销售和奶制品的生产销售计划

目标函数是工厂每天的净利润——A1,A2,B1,B2的获利之和扣除深加工费用。现在加工厂每天能得到50桶牛奶的供应,每天正式工人总的劳动时间为480小时,并且设备甲每天至多能加工100公斤A1,设备乙的加工能力没有限制。检查输出结果与标准解,得到最优解为X1=0,X2=168,X3=19.2,X4=0,X5=24,X6=0,最优值为Z=3460.8,即每天销售168kgA1和19.2kgB1可获净利润3460.8元,所以需用8桶牛奶加工成A1,42桶牛奶加工成A2,并将得到的24kgA1全部加工成B1。

2024-04-22 13:29:39 892

原创 数学模型实验四:利用MATLAB进行验证性实验

最大实际刹车距离(英尺)%最大实际刹车距离(英尺)% 最大实际刹车距离(英尺)Ployfit算法能够用来进行多项式拟合的,可以让估计值与实际值数据比较变得更加直观。其中,d 为刹车距离,变量 v 为车速,参数 t1 为反应时间,参数 k 为比例系数。将实际值与计算值比较(数据比较和和拟合图形),运行并展示程序和运行结果。其中,t 为比赛成绩(时间),n 为桨手人数,α 和β为参数。2. 编程:将实际值与计算值比较(数据比较和和拟合图形)。2. 求用所得模型计算刹车距离和刹车时间(数据比较);

2024-04-22 13:27:27 939

原创 数学模型问题+答

根据假设3(在任何时候椅子至少要能有三条腿着地),我们就可以得到,对于任意的 夹角 θ ,f(x) 与 g(x) 至少有一个为0,我们假设 g(x) = 0, f(x) > 0,由于长方形的中心对称性,当椅子转动90度后,于是 f(π/2) = 0, g(π/2) > 0。已知 f(x) 和 g(x) 是关于 θ 的连续函数,对于任意的 θ ,f(x) * g(x) = 0 ,且 g(0) = f(π/2) = 0, f(0) > 0 , g(π/2) > 0。- A,C 两脚距离地面的距离和 f(θ)

2024-04-22 13:24:12 132

原创 数据库总结

Dn上的关系,表示为R(D1,D2,…若关系中的某一属性组的值能唯一地标识一个元组,则称该属性组为候选码,简单的情况:候选码只包含一个属性,最极端的情况:关系模式的所有属性组是这个关系模式的候选码,称为全码(All-key),若一个关系有多个候选码,则选定其中一个为主码(Primary key),候选码的诸属性称为主属性(Prime attribute),不包含在任何侯选码中的属性称为非主属性(Non-Prime attribute)或非码属性(Non-key attribute)。

2024-04-22 13:17:19 847

原创 数据库大作业实验报告

选课记录(sclist):stuid(外码),csid(外码),选课时间(xkdate),选课成绩(grade)个人课表:课表编号(主码),csname,stuid(外码),csid(外码),上课时间。学生(students):stuid(主码),stuname,stusex,age。admin:user(主码),name,pwd,id。辅导员:职工号(主码),职工姓名,地址,联系方式。教室:教室编号(主码),教室地点,教室座位数。班级:班级名称(主码),班级专业,班级年级。

2024-04-19 11:13:08 133

原创 数据库实验6 :完整性

理解SQL Server的实体完整性、参照完整性和用户自定义的完整性;掌握SQL Server中实体完整性的建立,实践违反实体完整性的结果;掌握用户自定义约束,实践用户自定义完整性,利用查询分析器用CONSTRAINT、CHECK 等子句保证用户自定义完整性;了解触发器的作用及一般用法,掌握定义触发器方法。步骤,试着写一下定义级联删除功能的触发器。中的学号发生变化时,自动更新选课表。中某个选了课的学生的学号,并用。中的该生的学号是否自动更新了;中该学生选课记录中的学号;中输入一些记录,并用。

2024-04-19 11:06:58 940

原创 数据库实验5 :数据视图

熟练掌握SQL Server查询分析器的使用方法,加深对标准SQL视图语句的理解。基于实验一创建的教学管理JXGL数据库,实现数据视图操作。

2024-04-19 11:01:08 287

原创 数据库实验4 :嵌套查询和数据更新

创建教学管理数据库“JXGL”,在“JXGL”数据库中创建3-2中的三张表并添加数据,实现数据的单表查询操作。1.熟练掌握SQL Server查询分析器的使用方法,加深对标准SQL查询语句的理解。2.熟练掌握简单表的数据嵌套查询和数据更新的操作方法。在教学管理“JXGL”数据库中写出下列操作的SQL语句。求选了1号课程但没选2号学生学号和姓名;求选了1号课程或2号学生学号和姓名;求选了1号课程和2号学生学号和姓名;

2024-04-19 10:55:44 240

原创 数据库 第三章作业

查询选修编号为“3-105“课程且成绩至少高于选修编号为“3-245”的同学的Cno、Sno和Degree,并按Degree从高到低次序排序。查询选修编号为“3-105”且成绩高于选修编号为“3-245”课程的同学的Cno、Sno和Degree.查询和学号为108的同学同年出生的所有学生的Sno、Sname和Sbirthday列。表(一)Student。查询选修“3-105”课程的成绩高于“109”号同学成绩的所有同学的记录。查询成绩高于学号为“109”、课程号为“3-105”的成绩的所有记录。

2024-04-19 10:51:40 571

原创 数据库实验三:连接查询和嵌套查询

创建教学管理数据库“JXGL”,在“JXGL”数据库中创建3-2中的三张表并添加数据,实现数据的单表查询操作。1.熟练掌握SQL Server查询分析器的使用方法,加深对标准SQL查询语句的理解。2.熟练掌握简单表的数据连接查询和嵌套查询的操作方法。(用所学知识实现以下语句)写出下列操作的SQL语句。题要求用嵌套查询实现)

2024-04-19 10:23:45 616

原创 数据库实验二:表单查询

16.查询男同学的学号、姓名、年龄和所在系,将查询结果按所在系的系号降序排列,同一系中的学生按年龄升序排列。3.查询全体学生的学号和姓名,使用列别名改变查询结果的列标题,把列名改为汉字“学生编号”“学生姓名”。9.查询成绩在95~99分(包括95分和99分)之间的选课记录的学号、课程号和成绩。13.查询课程名中第2个字为"据"字的课程的课程号、课程名和学分。24.查询有选课记录的同学的学号和他相应的选课门数。26.查询缺少了成绩的学生的学号和课程号。8.查询考试成绩有不及格的课程的课程号。

2024-04-19 09:39:30 238

原创 数据库实验1(附加)数据表修改

1.熟练掌握SQL Server查询分析器的使用方法,加深对标准SQL查询语句的理解。1.向Student表增加“入学时间”属性,其数据类型为字符类型。2. 将入学时间的数据类型由字符型改为日期型。在实验1的基础上进行表的修改。2.熟练掌握基本表的建立。写出下列操作的SQL语句。

2024-04-19 09:27:36 163

原创 数据库实验一:建立数据表

创建教学管理数据库“JXGL”,在“JXGL”数据库中创建课本3.2节中的三张表并添加数据,实现数据的单表查询操作。1.在表student中加入专业属性 major char(20)图示列出student表的内容。3.在数据库 “JXGL”中建立表course,定义主码和外码,并录入数据(课本3.2)2. 在数据库 “JXGL”中建立表student,定义主码,并录入数据(课本3.2)4.在数据库 “JXGL”中建立表sc,定义主码和外码,并录入数据(课本3.2)2.熟练掌握基本表的建立。

2024-04-19 09:24:19 217

原创 微机原理与汇编语言实验:8254接口实验

通过编程设置8254的三个通道在特定模式下工作,并结合计时器功能,达到了让二极管以4秒点亮、1秒熄灭的频率循环运行的目标。本实验置8254的0通道、1通道、2通道工作在方式2,其中CLK0接1MHZ的连续脉冲序列,OUT0接CLK1,OUT1接CLK2,OUT2接发光二极管L0。在实验过程中,我遇到了一些编程和连接上的挑战,但通过不断的尝试和调整,最终解决了问题。如果按照二进制的编写方式设置8254通道2为工作方式2,二进制计数,那么后面就必须要低字节跟高字节都写进去,而不能只写进低字节不写进高字节。

2024-04-19 09:08:31 890

原创 微机原理与汇编语言实验:综合实验-排序

起初对于用汇编语言编写冒泡排序算法有一些困难,没有思路头绪,后来经过实践发现通过利用堆栈的方法可以进行排序,对冒泡排序等基本算法在汇编语言中的具体应用有了更清晰的认识。在编写和调试代码的过程中,通过不断比较相邻的元素,并根据比较结果交换它们的位置,(1)用提示信息提示用户输入10个数,每个数输入后换行,在下一行输入下一个数;通过堆栈操作,将下一个元素压入堆栈、将当前元素的值复制到下一个元素位置。,我对汇编语言的基本语法、寄存器的使用和中断调用有了更深入的了解。通过分析和思考,我掌握了一些解决问题的经验,

2024-04-19 09:04:34 534

原创 微机原理与汇编语言实验报告:分支循环

先将buf中的数据存入ax中然后与0进行比较,大于等于0时跳转到l2,小于0时负数bl加一,跳转到l2之后再与0进行比较,大于0时跳转L3,正数dh加一,等于0时,0数bh加一。输入的数应该在先判断大于等于0的情况后分出负数,然后再与0进行比较分出正数和0。通过本次实验我对内存的中变量的定义以及内存中数组的遍历有了更深的理解,同时我掌握了分支和循环程序的设计思想和方法,也学会了如何进行字符串的输出,对于汇编语言的使用也更加熟练。2、编写程序,求以‘!’结尾的字节型内存变量Str1中,大写字母的个数;

2024-04-19 09:01:29 437

原创 计算机网络大作业:socket多人聊天室

self.out=tk.Text(self.info_frame,width=80,font=("Symbol",14),yscrollcommand=self.ybar.set, )#定义text控件out,显示聊天信息,yscrollcommand 回调设置为 Scrollbar 的 set,yscrollcommand 是由滚动条控制的可滚动控件的选项,用于与垂直滚动条进行通信。当一个新的用户连接时,调用此方法,以将用户名添加到列表中,并更新显示给定的用户名的变量(name_var)。

2024-04-18 20:10:39 859 1

原创 计算机网络实验七:配置OSPF和配置NAT

通过本次实验我们实践了计算机网络中OSPF路由协议和NAT技术的配置与应用,我们掌握了如何使用命令来查看路由表,了解了路由项中要素的含义,掌握了OSPF的工作原理,也熟悉了OSPF的基本配置命令。本组网模拟单区域OSPF的应用。--接口优先级(默认为1)最大的为DR,相同时则以router ID 大的为DR ,第二大的为BDR。Client_A、Client_B位于私网,网关为 RTA,RTA同时为NAT设备,有1个私网接口(G0/0)和 1个公网接口(G0/1),公网接口与公网路由器 RTB互连。

2024-04-18 20:00:23 661 1

原创 计算机网络实验六:IP路由基础和配置RIP(RIP)

由此次实验可知静态路由是手动配置的路由信息,通过手动输入目标网络的路由信息,可以实现路由器之间的网络通信。通过静态路由配置,可以实现简单网络的连通性,但在大型网络中可能不够灵活。我们需要根据网络规模和需求选择合适的路由协议,并且结合静态路由和动态路由的优势,实现更高效、稳定的网络通信。通过这次实验,我对计算机网络中的路由协议有了更深入的了解,静态路由和RIP作为两种不同的路由配置方式,各有优劣,但都是为了更有效地管理和控制网络数据包的传输。然后PCA上测试到PCB的可达性和到网关的可达性。

2024-04-18 19:33:30 589

原创 计算机网络实验五:IP路由基础和配置RIP

在这个实验中,我们出现了一些突发情况,导致我们在这个实验过程中走了一些弯路,在出现地址冲突的时候,我们决定将物理层面的交换机端口进行改变,同时其它步骤中我们也修改了很大部分,通过这次实验,我理解了DHCP的工作原理和工作过程,并且分别掌握了在路由器上配置DHCP服务和配置DHCP中继的配置方法。可知,IP地址172.16.0.2、172.16.0.1、172.16.0.0不是可分配的IP地址资源,因为172.16.0.1被禁止分配,172.16.0.2已被分配给了 PCA,172.16.0.0是网络地址。

2024-04-18 19:25:25 589

原创 计算机网络实验四:配置VLAN和生成树

vlan :(virtual local area network 虚拟局域网):隔离了交换机上的广播,增强了局域网的安全性,灵活构建虚拟工作组,而且不受地理位置的限制,不同交换机相同VLAN可以通信。连接 PC的端口 G1/0/1是指定端口,处于转发状态。先将内网蓝线拔出换接灰线,然后在交换机和PC机进行连线,交换机1中连接PC机1和2的线的端口与交换机2中连接PC机3和4的端口相对应,1对3,2对4,两台交换机相连在同一个端口上(不同交换机的同一端口),如果端口不亮灯,说明不能用,需要去换端口。

2024-04-18 19:13:06 849

原创 计算机网络实验三:网络基本命令与调试

1.2步骤二:将PC机的线与交换机和路由器连接,将路由器的作为0的接口(192.16.0.1)与一个交换机相连,然后将该交换机上的另一个接口与下端其中一个作为PC机的电脑相连(该PC机IP在物理连接完成后修改自己网络IP为192.168.0.10,且默认网关应改为192.168.0.1)。通过这次实验我明白了数据在网络中的传输过程,和局域网内主机与交换机和路由器之间的传输关系,掌握了简单的网络设备操作命令和网络调试的一些方法和手段。1.1步骤一:首先将作为PC的两台电脑的蓝色内网线拔掉换成灰色的网线。

2024-04-18 18:51:52 858

原创 计算机网络实验二:Wireshark的使用

如果该主机更换了网卡,而其他主机的 ARP 缓存表仍然保留着原来的 MAC 地址,这时,可以发送免费的 ARP 数据包。都会产生ARP报文,但是它们的发送端mac地址不同,同一局域网则是该连接的交换机mac,局域网外则是目的端交换机的mac。与标准ARP的区别就是免费ARP分组的目的IP地址字段封装的是自己的IP地址,即向所在网络请求自己的MAC地址。2可用于检测 IP 地址冲突,当一台主机发送了免费 ARP 请求报文后,如果收到了 ARP 响应报文,则说明网络内已经存在使用该 IP 地址的主机。

2024-04-18 11:33:46 1312 2

原创 计算机组成原理实验:模型机的设计(CPU)

合理的模块划分、清晰的功能定义以及模块之间的高效连接,是实现一个稳健 CPU 的关键。通过设计运算器,寄存器,控制器等部分,以及对logisim软件的使用,我深入学习了计算机系统结构和数字逻辑设计的原理,进行了详细的模拟设计,这个综合性的设计过程不仅强调了各个模块的协同作业,同时也展现了整个CPU系统的高效运转,验证了CPU的功能和性能,并且保证了设计的准确性和可靠性,实现了实验预期的功能。指令译码器负责理解指令的操作类型、操作数、以及执行方式,并生成相应的控制信号,以指导CPU的其他部分执行正确的操作。

2024-04-18 11:19:58 688

原创 硬件描述语言大作业:数字电子钟

通过这次实验,我锻炼了我的问题解决和创新思维能力,并且我的专业知识和技能也都有很大提升。并且该实验使用来自外部的高频时钟信号,并将其划分为较低的频率,这些频率适合驱动计算秒、分钟和小时的计时逻辑,并且在计时的同时也将各个部分的计数值输入给数码管驱动电路,电路将编码结果输出给数码管作为字选和段选信号。在这个实验中,我通过集成分频模块、计数器模块、去抖动模块、控制模块、蜂鸣器控制模块、解码模块以及扫描驱动模块设计了这个数字电子钟系统。--------------------------------时钟信号。

2024-04-18 11:12:37 715 1

原创 硬件描述语言实验十一:数码管数字序列显示实验

同时意识到在编写VHDL代码时,我们需要严谨的逻辑思维和仔细的代码设计,实验过程中,出现问题时,要积极寻找问题来源并尝试自己解决,要提高解决问题的能力。--段选,段选就是选择你已经选择好的数码管里面的灯,比如要显示1,就让b,c等于0。case cnt1 is --把正常的顺序(如0是1111110对应abcdefg)反过来(gfedcba,g最高级),然后01倒过来(低电平有效,0为亮)--位选就是选择位置,比如有3个数码管,位选就是选择这三个中的那个数码管;实现数码管数字序列显示的功能。

2024-04-18 11:07:30 653

原创 HBU硬件描述语言十:中期考核实验题目

这四个实验代码均有错误,并且有很多都是小的错误点如单引号与双引号之间转换出错等,还有是否调用了所用函数包的问题(如第二个实验use ieee.std_logic_arith.all算术集),而且项目名需要和vhdl文件的名字一样,且项目名命名时必须符合规则,不能出现两条_,使用位矢量时必须注明位宽。--q-s都要改为q_s,q-s不符合命名规则,-为标识符。--nq为q的非,永远为q反。--q_s是4位数组,给q_s赋值需要双引号。

2024-04-18 11:04:51 743

原创 硬件描述语言实验九:基本时序逻辑电路设计实验

通过这次实验,我加深了对时序逻辑电路设计的理解,了解了时序逻辑电路在数字电路中的应用,掌握了使用VHDL语言进行基本时序逻辑电路设计的能力。也提高了编码和验证的技能,同时VHDL是一个强大而复杂的语言,实验过程中出现的问题和错误,也帮助了我学会了如何调试和修改VHDL代码,提高了我们的问题解决能力。(2)一个具有同步清零、并行输出的7位逻辑左移移位寄存器,(高位为左,低位为右),实体名称为“L_shifter7”,其引脚名称和逻辑功能如下表所示。(2)7位逻辑左移移位寄存器。(1)10进制加法计数器。

2024-04-18 10:52:21 703

原创 硬件描述语言实验八:触发器实验

实验中,使用VHDL语言进行仿真和测试的过程,可以帮助我们更好地理解和验证所设计的触发器的功能。VHDL触发器实验的成功完成,增强了我们对数字电路、时序逻辑和状态机等相关知识的理解和应用能力。实验中出现的问题和错误,也帮助我们学会了如何调试和修改VHDL代码,提高了我们的问题解决能力。通过实验,我们不仅掌握了VHDL语言的基本语法和设计方法,同时我也加深了对数字电路和逻辑设计的理解。1.一个同步清零的JK触发器,实体名称为“ff_jk”,其引脚名称和逻辑功能如下表所示。如图所示,并且结果符合实验预期。

2024-04-18 10:50:25 588

原创 硬件描述语言实验七:译码器实验

设计一个显示十六进制数字的LED数码管译码电路,实体名称为“transcode_led”,其输入与输出的逻辑关系如下表所示。通过本次实验,我们深入了解了译码器的工作原理和使用方法,并通过实际操作掌握了它们在电路设计中的应用。在进行测试时,确保提供不同的输入模式,并验证输出是否符合预期结果,以确保译码器的正确性。VHDL作为一种硬件描述语言,有助于我们以一种结构化和可扩展的方式描述和实现数字电路。在实验中,我们需要理解译码器的逻辑行为和功能映射,这对于正确编写VHDL代码至关重要。

2024-04-18 10:46:57 985

原创 硬件描述语言实验六:编码器实验

在实验中,理解编码器的逻辑行为是非常重要的,这样才能正确地进行VHDL编码。而且在编写和测试VHDL代码时,需要仔细检查逻辑错误,以确保与预期的结果一致。设计一个具有无有效输入标志和非法输入标志输出的16-4编码器,实体名称为“encode_16_4”,其引脚名称和逻辑功能如下表所示。①建立工程、②编辑代码、③编译及修改错误、④建立仿真波形并仿真、⑤应用HEDL-2实验箱观察实际效果,分析设计是否正确。将不同的输入模式应用到编码器,观察输出输出与期望输出匹配,确定。dout(3位总线)

2024-04-18 10:40:45 485

用于人类行为理解的自动移动传感策略生成.docx

用于人类行为理解的自动移动传感策略生成学习理解,笔记,译版

2024-04-20

数据库大作业 学生信息选课管理系统 xsxx.zip

数据库大作业 学生信息选课管理系统 php写的,里面内容还可以,用于大作业很够用了,偏简单一点

2024-04-19

socket多人聊天室代码+详细解释

计算机网实验大作业,socket多人聊天室代码+详细解释md文件为本人对该代码的详细解释,很详细,每一部分写的都很明白

2024-04-18

23-11-1微机原理小测 (1).doc

23-11-1微机原理小测 (1).doc

2024-01-25

练习题.doc

练习题.doc

2024-01-25

微机原理第五版PPT.zip

微机原理第五版PPT.zip

2024-01-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除