synopsys dw_axi_dmac 使用集成经验

该文详细介绍了DMA控制器的特性,包括32个通道支持多种传输模式如mem2mem和perh2perh,每个通道带有单向传输和可配置的burst大小。它支持非对其传输、AXI接口以及低功耗配置。此外,文章强调了根据实际需求配置DMA参数的重要性,例如在不需要异步接口或ECC校验的情况下避免多余开销。
摘要由CSDN通过智能技术生成

#总体简介

AHB/APB用于寄存器配置和访问,2个AXI Master port用于实现数据src和dst的搬运,带perh请求握手接口,独立的debug interface,中断接口

 

#主要特性
▲共32个channel,每个通道都对应一对src和dst
▲每个channel都是单向的
▲2个AXI Master支持多层级连接访问
▲mem2mem,mem2perh,perh2mem,perh2perh
▲端模式可选,可引脚选择
▲仲裁可lock
▲内部状态output pin指示,最后一次写传输output pin指示
▲支持AXI非对其传输
▲低功耗配置
▲channel的ID,独立可配,每个channel都带单FIFO,深度可配。

#通道控制
busrt transfer size
channel priority 可配置
链表传输模式

dma一般都不支持wrapping传输

#一些参数描述
DMAX_UNALIGNED_XFER_EN非对其传输
DMAX_CH_ABORT_EN通道异常停止使能
DMAX_CH_MEM_EXT支持外部SRAM,FIFO memory
DMAX_MSTIF_MODE为AXI版本选择
AXI参数配置
DMAX_SLVIF_MODE配置模式选择参数
DMAX_SAFETY_FEATURE_EN ECC校验功能。
低功耗相关参数配置

#感悟
因为整个DMAC的功能比较全,所以其面积也不小,因此在配置时,注意结合自己需求来配置,比如假如配置接口和主时钟本来就是同步的,那就没必要配成异步,否者会在同步处理上耗费大量寄存器,若是在消费量产品上面,也没必要打开AXI Safety特性,根据实际数据最大长度,配置busrt的长度。DEBUG过程中,抓住数据流向。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

轻量IP核

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值