【FPGA】跨时钟域问题(二)(单bit信号跨时钟域 1. 电平同步器 2. 边沿同步器 3. 脉冲检测器)

【FPGA】跨时钟域问题(二)(单bit信号跨时钟域 1. 电平同步器 2. 边沿同步器 3. 脉冲检测器)

作者:安静到无声 个人主页

作者简介:人工智能和硬件设计博士生、CSDN与阿里云开发者博客专家,多项比赛获奖者,发表SCI论文多篇。

Thanks♪(・ω・)ノ 如果觉得文章不错或能帮助到你学习,可以点赞👍收藏📁评论📒+关注哦! o( ̄▽ ̄)d

ლ(°◕‵ƹ′◕ლ)希望在传播知识、分享知识的同时能够启发你,大家共同进步。ヾ(◍°∇°◍)ノ゙

喜欢本专栏的小伙伴,请多多支持

【FPGA】FPGA快速入门_fpga入门
【FPGA】verilog牛客网刷题代码汇总_小波提升算法的verilog代码
【FPGA】跨时钟域问题(一)(建立时间保持时间和亚稳态)
【FPGA】跨时钟域问题(二)(单bit信号跨时钟域 1. 电平同步器 2. 边沿同步器 3. 脉冲检测器)
【FPGA】跨时钟域问题(三)异步FIFO的Verilog实现(格雷码)_格雷码跨时钟域客
【FPGA】AXI4总线介绍
【FPGA】FPGA-HDMI-图片Sobel滤波显示实验(ZYBO Z7)_soble滤波
【FPGA】FPGA-HDMI-彩条显示实验(ZYBO Z7)_fpga彩条实验
【FPGA】FPGA-HDMI-静态图片(灰度化)显示实验(ZYBO Z7)_hdmi verilog
【FPGA】半加器,全加器,串行加法和超前加法器_hls写全加器
【FPGA】Vivado简单双端口RAM 使用,问题探析_vivado双端口ram
【FPGA】基于verilog的CNN搭建缓存图片数据浅析_verilog 卷积的滑窗
【FPGA】基于Verilog搭建一个卷积运算单元的简单实现_verilog实现卷积运算
【FPGA】基于HLS的FPGA加速器设计——双缓冲的程序分析_fpga双缓冲流程图
【FPGA】对卷积的定点化运算理解与HLS的实现
【FPGA】定点数与浮点数简单解释_浮点数和定点数
【FPGA】硬件描述语言Verilog学习(一)_模块(and4(a,b,c))已设计好,正确的实例化语法
【FPGA】硬件描述语言Verilog学习(三)_verilog中波形相加应该用什么符号
【FPGA】硬件描述语言Verilog学习(二)_verilog
【FPGA】硬件描述语言Verilog学习(五)_verilog选择语句
【FPGA】verilog边学边练习(1)反相器_与非门_反相器verilog
【FPGA】verilog_边学边练习(2)二选一_四选一(组合逻辑电路)_用二选一选择器做四选一
【FPGA】verilog_边学边练习(3)补码转换_七段数码管译码(组合逻辑电路)_verilog求补码实现
【FPGA】verilog_边学边练习(4)计数器_四级伪随机码发生器(时序逻辑电路)
【FPGA】verilog_边学边练习(5) 秒计数器(时序逻辑电路)
【FPGA】verilog_边学边练习(6) 相邻点累加(时序逻辑电路)_相邻9点累加时序逻辑电路


在这里插入图片描述

1. 电平同步器

单bit信号跨时钟域最为简单的方法就是通过寄存器打两拍进行同步,也就是所谓的电平同步器。电路图如下所示:
在这里插入图片描述

图1 电平同步器

从图1可以看出,同步触发器有三个触发器构成,其中第一个触发器的时钟脉冲为CLOCK1,第2个和第3个触发器的时钟脉冲为CLOCK2,在两个时钟域之间的连接没有组合逻辑电路。其中在原时钟域下经过一个触发器主要为了消除毛刺。在进入第二个时钟域,我们需要进行打两拍,原因在系列文章——跨时钟域问题(一)(建立时间保持时间和亚稳态),进行了详细的说明,主要为了避免进入亚稳态的情况。

根据图1电路我们可以知晓,信号在两个同步时钟周期以后,便可以成为新时钟域下的有效信号。考虑到时钟关系,信号的延时是新时钟域中的一到两个时钟周期。

但这样的电路有一个明显的局限性,可同步的信号需要满足较为苛刻的要求。从本质上说,就是信号必须要被新时钟域所采到,而不能有遗漏,因而原时钟域下的信号必须足够长。即:

从慢时钟域传递到快时钟域(快采慢)。信号肯定被采到,故最为适用。但此时输出信号一般为电平信号,如果要求获得与新周期等宽的脉冲信号,则不可用。

快时钟域传递到慢时钟域下(慢采快),传递的信号必须为较宽的电平信号,要求保持高电平或低电平一个同步时钟周期以上。和输入信号关系较大,不可传递原时钟周期的脉冲信号。因而不适用与快时钟传递到慢时钟。

源代码

`timescale 1ns/1ps

module level_syc(
    input  wire                         clk_1                      ,
    input  wire                         clk_2                      ,
    input  wire                         din                        ,
    input  wire                         rst_n                      ,
    
    output wire                         dout                        
);
	
reg                                     src_state                  ;
reg                                     src_state_d0, src_state_d1 ;
	
	//原时钟域信号寄存器输出,消除毛刺
    always @(posedge clk_1 or negedge rst_n)
    begin
        if(rst_n == 1'b0)
            src_state <= 1'b0;
        else
            src_state <= din;
    end
	
	//同步至新时钟域
    always @(posedge clk_2 or negedge rst_n)
    begin
        if(rst_n == 1'b0)
        begin
            src_state_d0 <= 1'b0;
            src_state_d1 <= 1'b0;
        end
        else
        begin
            src_state_d0 <= src_state;
            src_state_d1 <= src_state_d0;
        end
    end
	
    assign dout = src_state_d1;
	
endmodule


仿真代码

`timescale 1ns/1ps

module level_syc_tb();

reg                                     clk_1, clk_2, rst_n        ;
reg                                     din                        ;
	
	//		慢时钟域到快时钟域
    always
        begin
            #30 clk_1 = ~clk_1;
        end
    always
        begin
            #10 clk_2 = ~clk_2;
        end
	
	//		快时钟域到慢时钟域
/*    always
        begin
            #10 clk_1 = ~clk_1;
        end
    always
        begin
            #30 clk_2 = ~clk_2;
        end
*/
    initial
        fork
            clk_1 = 1'b1;
            din = 1'b0;
            #5 clk_2 = 1'b1;
            #10 rst_n = 1'b0;
            #50 rst_n = 1'b1;
			
			//慢时钟域到快时钟域
            #200 din = 1'b1;
            #260 din = 1'b0;

            #400 $stop;

			
			//快时钟域到慢时钟域,高电平持续两个同步时钟周期
/*            #320 din = 1'b1;
            #380 din = 1'b0;
			
			//快时钟域到慢时钟域,高电平持续小于两个同步时钟周期
            #800 din = 1'b1;
            #820 din = 1'b0;
*/
        join
		
    level_syc u1(.clk_1(clk_1),
    .clk_2                             (clk_2                     ),
    .rst_n                             (rst_n                     ),
    .din                               (din                       ),
    .dout                              (dout)                     ) 
				 
endmodule

仿真结果如图所示:

从慢时钟域传递到快时钟域(快采慢)。信号成功完成跨时钟域。同步延迟为80ns。
在这里插入图片描述

图2 从慢时钟域传递到快时钟域(快采慢)

快时钟域传递到慢时钟域下(慢采快)。第一个信号成功完成跨时钟域。第二个信号被滤掉。
在这里插入图片描述

图3 从快时钟域传递到慢时钟域(慢采快)

结论:
从快时钟域向慢时钟域传递时钟周期的脉冲信号时,信号很可能会被滤掉。结合波形图可得,信号必须持续至少一个同步时钟周期,才能确保肯定被采到,完成跨时钟域。考虑到跨时钟域下,时钟的相互关系并不确定,因而,采用电平同步器进行块到慢时钟域的跨越是不合理的。

2. 边沿同步(检测)器

边沿检测同步器在电平同步器的输出端增加一个触发器,新的触发器的输出经过反相后和电平同步器的输出进行与操作。这一电路会检测同步器输入的上升沿,产生一个与原时钟周期等宽、高电平有效的脉冲,如果将与门两个输入端交换使用,就可以构成一个检测输入信号下降沿的同步器。将与门改为与非门可以构成一个产生低电平有效脉冲电路。
在这里插入图片描述

图4 边沿检测同步器

该模块的实质是设计了一个三级的缓存,从左到右分别定义为Q0,Q1和Q2。其中检测上升沿和下降沿的方法与牛客网练习VL24 边沿检测是一致的。

  • 提取上边沿 pules = Q1 & (~Q2);
  • 提取下边沿 pulse = (~Q1) & Q2;
  • 提取双边沿 pulse = Q1 ^ Q2;

当一个脉冲进入一个更快的时钟域中时,边沿同步检测器可以工作的更好,这一电路会产生一个脉冲,用来指示输入信号的上升沿和下降沿。这种边同步器有一个限制,即输入脉冲的宽度必须大于同步时钟周期与第一个同步触发器所需保持时间之和。最保险的脉冲宽度是同步器时钟周期的两倍。如果是一个单时钟周期宽度脉冲进入一个较慢的时钟域,则这再同步器没有作用,这这种情况下,要采用脉冲同步器。

源代码

module edge_syc(
    input  wire                         clk_1                      ,
    input  wire                         clk_2                      ,
    input  wire                         din                        ,
    input  wire                         rst_n                      ,
    
    output wire                         dout_r                     ,
    output wire                         dout_f                     ,
    output wire                         dout_e                      
	
);
reg                                     src_state                  ;
reg                                     src_state_d0, src_state_d1, src_state_d2;
	
	//原时钟域下脉冲信号转变为电平信号
    always @(posedge clk_1 or negedge rst_n)
    begin
        if(rst_n == 1'b0)
            src_state <= 1'b0;
        else
            src_state <= din;
    end
	
	//同步至新时钟域
    always @(posedge clk_2 or negedge rst_n)
    begin
        if(rst_n == 1'b0)
        begin
            src_state_d0 <= 1'b0;
            src_state_d1 <= 1'b0;
            src_state_d2 <= 1'b0;
        end
        else
        begin
            src_state_d0 <= src_state;
            src_state_d1 <= src_state_d0;
            src_state_d2 <= src_state_d1;
        end
    end
	
	//边沿检测产生新的脉冲
    assign dout_r = src_state_d1 & ~src_state_d2;
    assign dout_f = !src_state_d1 & src_state_d2;
    assign dout_e = src_state_d1 ^ src_state_d2;
	
endmodule

仿真代码

`timescale 1ns/1ps

module edge_syc_tb();
reg                                     clk_1, clk_2, rst_n        ;
reg                                     din                        ;
	
always
    begin
        #30 clk_1 = ~clk_1;
    end

always
    begin
        #10 clk_2 = ~clk_2;
    end
    
initial
    fork
        clk_1 = 1'b1;
        din = 1'b0;
        #5 clk_2 = 1'b1;
        #10 rst_n = 1'b0;
        #50 rst_n = 1'b1;
        
        #200 din = 1'b1;
        #260 din = 1'b0;
        
        #320 din = 1'b1;
        #380 din = 1'b0;

        #500 $stop;
        
//			#400 din = 1'b1;
//			#460 din = 1'b0;
    join
    
edge_syc u1(
    .clk_1                             (clk_1                     ),
    .clk_2                             (clk_2                     ),
    .rst_n                             (rst_n                     ),
    .din                               (din                       ),
    .dout_r                            (dout_r                    ),
    .dout_f                            (dout_f                    ),
    .dout_e                            (dout_e)                   ); 


endmodule

在这里插入图片描述

图5 边沿检测同步器仿真结果

由实验结果可知,先对于输入/edge_syc_tb/u1/src_state,输出/edge_syc_tb/u1/dout_r延迟了两个时钟周期(clk_2),即40ns。这也能够保证信号的有效采集。

3. 脉冲检测器

之前所考虑的两个同步器,都只适合从慢时钟域到快时钟域,不必考虑新时钟域下采不到信号的问题。从快时钟域传递单bit信号到慢时钟域,则需要用到脉冲同步器。

脉冲同步器的输人信号是一个单时钟宽度脉冲, 它触发原时钟域中的一个翻转电路图。 每当翻转电路接收到一个脉冲时,它就会在高、低电平间进行转换, 然后通过电平同步到达异或门的一个输入端, 而另一个信号经一个时钟周期延迟进入异或门的另一端, 翻转电路每转换一次状态, 这个同步器的输出端就产生一个单时钟宽度的脉冲。
在这里插入图片描述

图6 脉冲同步器

每当快时钟域clka检测到Signal_a脉冲信号为高时,让wide_a信号取反,使得Signal_a的第一个脉冲变为wide_a信号的上升沿,Signal_a的第二个脉变为wide_a信号的下降沿。这样就使快速时钟域clka的脉冲信号Signal_a展宽之后在慢速时钟域clkb中能够被采集到。在接收方,慢时钟将wide_a打两拍同步到慢速时钟域clkb,再通过双边缘检测将wide_b2转换为脉冲信号。

在这里插入图片描述

图7 时序数据情况

代码
//脉冲同步器
module pulse_syc(
    input  wire                         clk_1                      ,
    input  wire                         clk_2                      ,
    input  wire                         din                        ,
    input  wire                         rst_n                      ,
    
    output                              dout                        
);
reg                                     src_state                  ;
reg                                     src_state_d0, src_state_d1, src_state_d2;
	
	//原时钟域下脉冲信号转变为电平信号
always @(posedge clk_1 or negedge rst_n)
begin
    if(rst_n == 1'b0)
        src_state <= 1'b0;

//		else if(din == 1'b1)		//通过2选1MUX完成翻转功能,脉冲到来完成从脉冲到电平的转换
//			src_state <= ~src_state;

    else
        src_state <= din ^ src_state;                           //通过异或门做处理
end

//同步至新时钟域
always @(posedge clk_2 or negedge rst_n)
begin
    if(rst_n == 1'b0)
    begin
        src_state_d0 <= 1'b0;
        src_state_d1 <= 1'b0;
        src_state_d2 <= 1'b0;
    end
    else
    begin
        src_state_d0 <= src_state;
        src_state_d1 <= src_state_d0;
        src_state_d2 <= src_state_d1;
    end
end

//边沿检测产生新的脉冲
assign dout = src_state_d1 ^ src_state_d2;
	
endmodule

仿真

`timescale 1ns/1ps

//		高频到低频		//
module pulse_syc_tb();
reg                                     clk_1, clk_2, rst_n        ;
reg                                     din                        ;
	
always
    begin
        #10 clk_1 = ~clk_1;
    end

always
    begin
        #30 clk_2 = ~clk_2;
    end
    
initial
    fork
        clk_1 = 1'b1;
        din = 1'b0;
        #5 clk_2 = 1'b1;
        #10 rst_n = 1'b0;
        #50 rst_n = 1'b1;
        #100 din = 1'b0;
        
        #200 din = 1'b1;                                        //间隔两个同步周期的脉冲信号
        #220 din = 1'b0;
        #320 din = 1'b1;
        #340 din = 1'b0;
        
        #600 din = 1'b1;                                        //间隔一个同步周期的脉冲信号
        #620 din = 1'b0;
        #680 din = 1'b1;
        #700 din = 1'b0;
        
        #900 din = 1'b1;                                        //等于两个原时钟周期的脉冲信号
        #1020 din = 1'b0;
        #1200 $stop;
    join
    
pulse_syc u1(.clk_1(clk_1),
.clk_2                             (clk_2                     ),
.rst_n                             (rst_n                     ),
.din                               (din                       ),
.dout                              (dout)                     ); 

endmodule



在这里插入图片描述

图8 仿真结果

当输入脉冲间隔为两个同步时钟周期时,脉冲同步器可正常完成输出;当输入脉冲间隔为低于两个同步时钟周期时,脉冲同步器错误输出了一个更宽的脉冲。因而,脉冲同步器对于脉冲的间隔有比较严格的要求:输入脉冲的最小间隔必须等于两个新时钟的时钟周期。

参考

  1. https://blog.csdn.net/qq_40268672/article/details/123347337
  2. https://blog.csdn.net/u013668469/article/details/99480694
  3. Mike Stein.跨越鸿沟:同步世界中的异步信号[J].电子设计技术,2004(07):76+78+80+82+84+86.
  • 16
    点赞
  • 84
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

CV视界

如果感觉有用,可以打赏哦~

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值