ADI Building HDL记录

编译ADI的project

安装Cygwin

安装 Cygwin 时,要装gcc、make、git,安装完成后使用安装软件查看安装的内容如下,
在这里插入图片描述
设置Cygwin64环境变量在这里插入图片描述

设置vivado路径信息

要将 FPGA 设计工具安装目录添加到 PATH 环境变量中,大致步骤如下:

  1. 找到.bash_profile文件
  2. 根据vivado的实际安装路径,在该文件中修改PATH环境变量
    在这里插入图片描述
#----------------------------------------------------------
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/Vivado/2017.4/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDK/2017.4/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDK/2017.4/gnu/microblaze/nt/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDK/2017.4/gnu/arm/nt/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDK/2017.4/gnu/microblaze/linux_toolchain/nt64_be/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDK/2017.4/gnu/microblaze/linux_toolchain/nt64_le/bin:$PATH
export PATH=/cygdrive/d/SoftWare/Xilinx/Vivado/SDk/2017.4/gnu/aarch32/nt/gcc-arm-none-eabi/bin:$PATH

alias xmd=xmd.bat
alias xsct=xsct.bat
alias xsdb=xsdb.bat

查看是否正常安装make、gcc、make等

在这里插入图片描述

编译工程

进入某个工程所在的文件夹,一键make,
在这里插入图片描述

链接

AD9361 随笔记录
AD-FMCOMMS5-EBZ User Guide
Synchronizing multiple AD9361 devices
AD9361 No-OS Software
HDL Cores Register Map

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值