ADI官方源码快速搭建demo工程验证设计的正确性

目录

1 ADI官方demo工程设计简介    

2  ADI官方HDL源码介绍

        2.1  HDL源码用户指导书:ADI Reference Designs HDL User Guide

        2.2  C源代码下载:no_os

        2.3 下载HDL源码:在1节中选择Releases and supported tool versions就可跳转到HDL的源码下载地址。

3  手把手教搭建官方demo工程 

        3.1 安装Cygwin64

        3.2 编译library

3.3 使用Vivado生成demo工程

3.4 将no-OS-master中的源码加入进行编译


1 ADI官方demo工程设计简介    

        ADI官方的官方demo板的整套快速设计是相当给力的,在购买官方开发后,可通过官方例程可以快速学习对应AD/DA的使用方法。 示例设计往往建立在Xilinx或Altera的官方开发板上的,所以ADI推出了在大厂FPGA上快速构建demo工程的设计流程。在产品设计上,可以采用与大厂开发板和ADI demo板类似的原理设计,这样不仅可以减小硬件设计的风险,同时软件设计可以在官方demo工程上进行较小的修改,大大减小了软件设计的工作量。

        本篇博文涉及的资源连接如下:点击获取传送门【【【【【【传送门】】】】】】;

2  ADI官方HDL源码介绍

        本节给出ADI关于HDL源码资源下载地址和指导书地址。

      2.1  HDL源码用户指导书:ADI Reference Designs HDL User Guide

        (1)Introduction

          (2)  Git Repository 

          (3)  Releases and supported tool versions

          (4)  Building & Generating programming files

          (5)  Running on hardware

          (6)  Architecture

          (7)  IP Cores

          (8)  Using and modifying the HDL design

      2.2  C源代码下载:no_os

      2.3 下载HDL源码:在1节中选择Releases and supported tool versions就可跳转到HDL的源码下载地址。

           

              这里有很多HDL分支包,对应了不同的VIVADO或Quartuse版本,因为ADI在不断的加入新的AD/DA的demo,下载需要的版本即可。后续的内容将围绕Xilinx 版本的内容进行讲解,Altera的方法是类似的。

             下载一个hdl_2018_r2,对应Vivado版本为2018.2,解压以后如下图:

             

           其中library的包含了ADI专为AD/DA设计的IP CORE和通用HDL文件,projects中则包含了各个AD/DA和demo板的示例工程,内容非常丰富。使用时只需要找到对应AD/DA型号或指定的demo板型号,确定Xilinx开发板型号即可,各取所需。

找到对应工程的文件夹后,如何搭建demo工程将成为本篇博客讲解的重点。

3  手把手教搭建官方demo工程 

        3.1 安装Cygwin64

           1. 这是一个工具,可以批量编译library中的库,这样免去一个个编译的麻烦,下载地址:点击这里获取;    其中gcc,make,git这几个一定装功能,如果安装完了,忘记安装,也可以重新安装添加上没安装的就可以了。 

           2. 添加环境变量:把Cygwin64的安装路径E:\cywin64\bin添加到系统变量,这个和其他软件添加环境变量没什么区别,如下图所示:

             

         3.2 编译library

             1.打开Cygwin64输入如下指令

export PATH=$PATH:/cygdrive/e/Xilinx/Vivado/2018.2/bin

            如图,这是为了将vivado的安装路径加到Cygwin64里面。

  

        2.使用如下命令跳转到库(library)文件夹下,执行make命令,对库进行编译。

第一步,跳转到library目录下: cd C:/Users/57803/Desktop/hdl-hdl_2018_r2/library
第二步,执行make命令: make

之后就可以看到Cygwin64挨个对library中的库进行批量编译,只需要等待这一步完成。(make命令其实是对应执行了library文件夹下的Makefile文件,批量编译将减少使用vivado诸葛编译的麻烦)

         3.3 使用Vivado生成demo工程

        库编译完成以后,就可以使用Vivado来生成Vivado的demo工程了,直接打开Vivado在Tcl Console中输入以下命令,以下命令生成的dome工程信息如下:ADIdemo板型号:FMCOMMS2 Xilinx开发板型号:ZC706 使用的AD/DA型号为:AD9361。

第一步:跳转至对应工程对应目录:cd C:/Users/57803/Desktop/hdl-hdl_2018_r2/projects/fmcomms2/zc706

第二步: 执行脚本文件生成工程: source ./system_project.tcl

        输入以下命令后,Vivado会自动生成对应的Demo工程,并完成编译生成BIT文件等一些列操作,不需要任何的人工干预。

如下图,构建工程中:

        BIT文件生成以后,就可以导入到SDK的环境,创建一个新的工程。

         3.4 将no-OS-master中的源码加入进行编译

    大部分工程不管是FPGA还是ZYNQ都是有C代码部分取配置AD/DA的,或则使用DAM完成一些数据的处理与传输,他们运行在ARM或则microblaze上,最后一步就是将C源码加入到SDK工程中,以AD9361为例:

将ad9361源码拷贝到刚创建的SDK工程中src中,如 C:\....\hdl-hdl_2018_r1\projects\fmcomms2\zc706\fmcomms2_zc706.sdk\ad9361\src

在SDK中选择file->refresh,刷新刚添加进的源代码到工程中。

  刚加入的源代码

配置编译环境,选择file->properties,将刚拷贝入源代码的文件夹路径加入,进行编译。

           至此Demo工程就建立完毕了,如果有FMCOMMS2和ZC706就可以直接上班验证了,如果是产品是类似的设计,则只需要进行一些必要的修改,就可以快速验证设计的正确性。

同时所有的ADI AD/DA的demo工程建立都可以参照此方法。

          AD9361 demo工程已整理号欢迎下载:点击获取传送门【【【【【【传送门】】】】】】;

  • 4
    点赞
  • 58
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

比特FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值