自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(53)
  • 资源 (10)
  • 收藏
  • 关注

转载 802.11--802.11ax协议

IEEE 802.11工作组在2020年发布了当前最新的WiFi标准:802.11ax,也被称为WiFi6。802.11ax协议在提升吞吐量和降低用户时延上做出了一系列的改进,比如最引人注目的OFDMA(正交频分多址接入)技术。

2023-06-01 22:03:51 2858

原创 OFDM系统架构梳理(1)

OFDM系统架构梳理

2023-01-31 22:43:20 1674

原创 HMC7044、AD9689与KCU105调试总结

由于测试仪器一直被占用,只能晚上调试,最后总算花了一两周的时间完成了HMC7044、AD9689与KCU105的调试,现在写下总结:之前也调试过一些关于jesd204B的板子,所以协议方面是比较熟悉的,代码书写很快就完成了,但是在调试过程中遇到一些问题:1、HMC7044原理图的压控振荡器显示是可变范围的,经过实际测量是122.88M,结果不论怎么更改参考输入,VCXO是没有变化的。加上官方提供的配置经过加载显示输出983.04M,实际测量是832Mhz,也就是实际PLL1环路是没有工作起来的,不过

2021-03-13 05:20:16 6338 12

转载 [数字信号处理]相位特性解卷绕

1.什么是解卷绕?为什么要解卷绕?          对于FIR滤波器的系数,也就是FIR滤波器的单位冲击响应,做离散时间的傅里叶变换。比如,像下面这样。 所得到的结果是这个FIR滤波器的频率响应。然而,频率响应又表示为振幅特性和相位特性,就像这样 所以,振幅特性和相位特性就按下式可以计算出来。       &n...

2021-01-06 10:09:29 3062

原创 DVB-S2工作总结

好久没有更博了,主要是近期一直在做DVB-S2的项目,这个项目是还是一个比较大的项目吧,整体的工作还是很多的,其中DVB-S2需要工作在VCM模式,涉及到模式切换,还有对应的一些算法,现在对这短时间的工作做一个阶段性的总结:1、发射端,由于个人不会信道编码,这部分就不提了,交织、映射、物理组帧、成型等这些工作还好,主要注意的是成型方案的选择,否则会在模式切换的时候出现星座图的串扰现象。2、接收端,这个重点说一下吧:2.1、匹配滤波(四相,消耗dsp就达200多个,主要也是没考虑资源优化的问题)

2020-10-31 18:53:15 3334 9

原创 通信系统仿真中SNR,Eb/N0,Es/N0的区别与联系

首先明确几个符号的意义:S:信号的平均功率 N: 噪声的平均功率Eb: 每bit信号能量 N0:噪声的功率谱密度Es:信号(符号)的能量 Rb:传信率(每秒传输的bit数)W: 信号带宽 ...

2020-10-19 09:51:57 5866

原创 system generator 中slice的使用

双击Simulink中的图标可以调用块参数对话框模型。特定于该块的参数如下:Width of slice (Number of bits):指定要提取的位数。Boolean output:告知单个位片是否应为布尔类型。Specify range as:(两bit位置|高bit位置+宽度|低bit位置+宽度)。允许您指定切片的两个端点或一个端点的位位置以及切片中要采用的位数。O...

2020-04-03 19:25:36 812

原创 System Generator中black box使用

将.v文件放在slx文件所在路径下,添加一个Black Box到model中,会自动弹出一个窗口,选择DeInterleave.v文件。初始化完毕后,软件会自动生成一个DeInterleave_config.m的MATLAB配置文件,这个文件与设置的VEVILOG文件相对应,配置了HDL文件在Simulink环境中的具体信息。关闭后,Black Box会根据MATLAB配置文件...

2020-04-02 16:25:14 1438

原创 Xilinx FIFO IP core 使用

一、FIFO Generator IP的引脚信号含义1. almost full 和 almost empty flags用来指示只剩一个字了。2. Programmable full and empty status flags可以由用户自定义内容设定或者用专用的输入口进行设定。3. 对于V5的block RAM和built-in FIFO可以使用内嵌的寄存器。使用这个寄存器可以提高...

2020-02-20 12:21:10 526

转载 接收机灵敏度的计算公式推导和分析

接收机灵敏度定义的接收机能够接收到的并且还能正常工作的最低电平强度。接收机灵敏度跟很多东西有关,如噪声系数、信号带宽、解调信噪比等,灵敏度一般来说越高(数值越低),说明...

2020-02-20 10:49:15 3418

原创 System generator常见报错集锦

该帖会根据提示错误持续更新:1、运行程序没有其他错误,内部模块显示s-function出错,出现内建错误,是环境路径的问题。下面错误也是环境问题导致的。2、出现下面错误是因为路径太长导致。3、[DRC INBB-3]错误ERROR: An error occurred when creating the Vivado project.ERROR: [Common 17-...

2020-01-14 09:55:41 4089 11

原创 fir多相滤波器实现

这几天刚好要做成型,这里做个笔记:之前一直使用的多相查找表形式的实现成型,但是不适合目前的项目需求,所以采用fir ip实现多相,因为速率如果是250Mhz,再采用4倍插值去实现四相成型那么实际速率就是1Ghz了,这里用最傻瓜的模式去实现四相,就是将成型系数分成4相,然后用4路fir去做成型。一个fir本身是支持四路的设置,但是为了做得更简单点,更容易理解,直接使用四个fir。把数据存...

2019-12-06 11:05:33 3542 2

转载 基于vivado的fir ip核的重采样设计与实现

<!--一个博主专栏付费入口结束--> <link rel="stylesheet" href="https://csdnimg.cn/release/phoenix/template/css/ck_htmledit_views-4a3473df85.css"> ...

2019-12-05 20:32:00 770

转载 JESD204B学习之关键点问答

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。 ...

2019-10-29 09:12:28 4213

转载 XILINX GTX学习笔记

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。 ...参考:https://blog.csdn.net/weixin_42229533/article/details/86541557

2019-10-28 09:16:44 2718

转载 AD9361相关解释

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。 ...

2019-10-25 09:14:31 7240 1

原创 插值和抽取的影响

插值信号带宽变窄,抽取信号带宽变宽。参考:https://blog.csdn.net/wordwarwordwar/article/details/80715846参考:https://mp.weixin.qq.com/s?src=11&timestamp=1571925531&ver=1932&signature=rBoig0z8O8YRNhsPQXSqSYGH...

2019-10-24 22:01:47 4743

转载 microblaze软核处理器及其ip核调用

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。 ...

2019-09-24 16:13:44 2425

原创 关于jesd204B调试总结

jesd204B很早之前就开始弄,最开始用的是xilinx ip,只是简单的做了tx的,成功发送了一个sin信号,然后因为后面做其他项目放了接近一年,中间虽然做AD9371确实用的了jesd204的,但是实际AD9371官方给了demo也不用怎么去理解协议本身。所以花了几天时间测试了下AD9152这个板子,简单做了下QPSK调制的测试,成功观测到QPSK星座图。现在大致写下调试总结:1、JES...

2019-09-02 14:33:24 8330 4

原创 system generator出现illegal period错误

第一次自己尝试搭建system generator工程,出现下面的错误,一直以为周期有问题,试了一直没解决,后来发现自己粗心导致的。现在记录一下,防止之后再出现同样的问题。出错原因是标红地方是默认值,没有改成你实际的采用值:...

2019-08-12 17:01:07 577 3

原创 基于zynq7000与ad9361的移植与平台搭建

对最近工作的总结吧:1、首先将zc706与ad9361成功移植到ax7350与ad9361。(成功抓取到dac的数据和adc存下来的数据,经过打印确定正确)之前共享了AD9371移植到KC705,这次就暂时不共享了(看情况共享不)。2、搭建了关于AD9361与zynq7000系列的平台(通用平台)成功收发sin信号,也实现了bpsk的调制,均正确得到相应的信号(只需要改调制方式,其他不...

2019-03-12 16:09:37 5343 9

原创 封装带axi和axis总线的IP

封装带AXI总线的IP参考https://www.cnblogs.com/chensimin1990/p/7090861.html下面是我自己封装带axi和axis总线的IP的过程:参考上面链接添加了AXI总线,本来也想再添加一个AXI总线将名字改成AXIS,然后将属性stream类型,但是它的引脚有一个不是我要的,当然这也是一种添加AXIS总线的方法。现在说满足我自己封装的情况:1、...

2019-02-22 21:48:28 4205

原创 AX7350的ddr3调试失败总结

之前做KC705、ZC706关于DDR2/DDR3的调试都没有遇到这些问题,之前不成功基本上都是时钟给的不对,这次换成AX7350,没有时钟问题,却一直不成功,现在记录一下,给自己留个总结:1、建立一个最简单的工程2、ps7内部关于DDR部分的设置我单独也建立了一个zc706的程序,对比了下这部分参数,AX7350是0,但是ZC706是有参数的,所以做了下面参数的修改:...

2019-02-20 10:21:30 1273

原创 ZYQN无法打印问题

将ZC706换成AX7350之后做测试。虽然ZC706工作都很正常,AX7350也根据手册修改sys_ps7内核,但是一直无法打印,程序感觉也没有问题,后来看了下网上提到的解决办法,虽然没有解决我的问题,但是也做下参考。1、https://blog.csdn.net/u011997919/article/details/788827892、https://blog.csdn.net/sum...

2019-01-16 20:52:28 524

原创 win10通过网线连接开发板和PC方法

1、设置网络共享打开WIN10的“网络和Intenet设置”,在WLAN或者以太网选项卡下找到右侧“更改适配器选项”在这里我们将电脑的wifi网络共享给以太网2、获取开发板IP地址打开win10的cmd,输入arp -a这里我们看到192.168.0.185便是我们开发板的IP地址,此时通过adb连接即可。如果没有adb,直接ping192.168.0.185...

2019-01-10 20:06:58 8003

原创 基于ZC706开发板进行SD卡烧写

基于Windows系统进行sdcard烧写:参考链接下载对应的软件:https://wiki.analog.com/resources/tools-software/linux-software/zynq_images/windows_hosts下载镜像文件:https://wiki.analog.com/resources/tools-software/linux-software/zy...

2019-01-03 21:20:22 1469

原创 NAND FLASH 笔记

关于镁光的MT29F128G08CFAAAWP-IT.1、根据芯片类型提取关键信息。classF=2Die/2CE/2R_B/common,A=async only,WP=48-pin TSOP(CPL)。2、同步/异步信号引脚描述3、48-pin  TSOP 类型4、功能框图5、每个逻辑单元(LUN)的阵列组织1个LUN对应两个plane,一个pl...

2018-11-07 20:50:11 2204 2

原创 有用的Verilog系统任务

Useful SystemVerilog System TasksUseful SystemVerilog System Tasks Task Name Description $sscanf(str,format,args); $sscanf 将字符串按照某个模板格式进行扫描,其字符串格式和C语言中的printf()函数类似 $sformat(str,...

2018-11-05 10:41:50 567

原创 FreeRTOS知识点

一.FreeRTOS系统概念        FreeRTOS是RTOS(实时操作系统)的其中一种,类似的还有uc/OS,RTX等,区别于linux &amp; window系统;二.FreeRTOS的基本概念        1.任务:被称为task,可看做linux系统中的线程,是一个简单的程序。每个任务被赋予一定的优先级,有它自己的一套CPU寄存器的值和自己的栈空间。典型的,每个任务...

2018-10-28 16:16:52 1465 1

原创 Visual Studio 2017 IDE安装使用图文教程

首先,进入VisualStudio的官网下载最新版本的VSIDE(目前是VS2017):VS2017下载地址打开网页,点击红色画笔圈起的按钮然后会下载下来一个文件,点击它,会弹出一个这样的窗口点击“继续”,稍等一小会之后正式进入安装界面然后点击红圈内的“安装”VisualStudio Community 2017是微软提供的免费版的IDE之后进入如下界面,勾上圈...

2018-10-28 12:32:01 862

转载 vivado生产edif

出现  https://china.xilinx.com/support/answers/54074.html    综合完成后会跳出个框框,选择open synthesis  write_edif module.edf  write_verilog -mode port module_stub.v(Vivado2015.3)  write_verilog -mode synt...

2018-10-25 10:00:03 2200

原创 GitHub上上传工程

1.在GitHub上建立项目登录GitHub后,你可以在右边靠中那里找到一个按钮“New Repository”,点击过后,填入项目名称、说明和网址过后就可以创建了,然后会出现一个提示页面,记下类似[email protected]:XXX/XXX.git的地址,这个就是你这个项目的地址了。2.配置Git以及上传代码安装Git成功后,如果是Windows下,选择Git Bash,在命令行中完...

2018-10-24 11:01:35 198

转载 Vivado将模块封装为IP的方法(网表文件)

在给别人用自己的工程时可以封装IP,Vivado用封装IP的工具,可以得到像xilinx的ip一样的可以配置参数的IP核,但是用其他工程调用后发现还是能看到源文件,如何将工程源文件加密,暂时没有找到方法,如果知道还请赐教。而直接用.edif网表文件作为ip的方法如下:1、建立工程设置顶层模块将需要封装的模块设置为顶层模块。(shift_bus模块)2、综合待封装模块vivado综合时会...

2018-10-22 22:11:18 6126

原创 DDR3/DDR4

这里只是自己做个笔记,方便以后查看。会持续更新,只是部分相关只是更新。 Group Machines图3-3显示了一个实例的Group FSM框图。 主要有两个组FSM块,阶段1和阶段2的部分,每个部分包含FIFO和FSM。 阶段1与UI接口,发出预充电和激活命令,并跟踪DRAM页面状态。 阶段2发出CAS命令并管理RMW流。 FSM用于在最早的安全时间安排DRAM...

2018-10-22 22:03:52 1847

原创 使用tcl命令保存vivado工程成.tcl文件和使用.tcl文件恢复vivado工程的方法

把vivado工程保存成.tcl文件,有两种方法,分别是:     ① 使用tcl命令:在打开的vivado工程中,在tcl命令输入行,输入如下命令,write_project_tcl { d:/work/system.tcl},即可把工程保存成.tcl文件。其中d:/work/是.tcl文件保存的路径,可根据实际使用的需要更改,system.tcl是保存的文件名。     ② 使用GUI...

2018-10-22 22:02:23 5214

原创 关于AD9371调试笔记

目前已经成功验证几个平台上AD9371的工作。现在写一下调试心得。1、时钟资源。不同板载的时钟支持不一样,比如:7系列是MMCME2,ULTRASCLALE是MMCME2,VIRTEX6是MMCM等等。2、存储资源:DDR2/DDR3/DDR4。3、接口问题。常规接口是LVDS,最大速率只支持1Gbit,要想速率做的更高就需要JESD204B这样高速串行接口啦。几个要点:1、A...

2018-10-22 21:36:52 12265 70

原创 第十一章 用户数据报协议(UDP)

一、简介  UDP是一个简单的面向数据包的运输层协议:进程的每个输出操作都正好产生一个UDP数据报,并组装成一份待发送的IP数据报。这与面向流字符的协议不同,如TCP,应用程序产生的全体数据与真正发送的单个IP数据报可能没有什么关系。  UDP数据报封装成的IP数据报格式如下所示:  UDP不提供可靠性,它把应用程序传给IP的数据发送出去,但并不保证他们能到达目的地。其次,应用程序...

2018-10-17 17:12:44 692

原创 第十章 协议的分层

协议的分层 1. 国际标准化组织(International Organization for Standardization,简称ISO)提出开放系统互连参考模型(Reference Model of Open System Interconnection)的分层模型,通常称为ISO模型(ISO Model)。七层分别为:“应表会传网数物”,即:7)应用层;6)表示层;5)会话层...

2018-10-17 17:09:06 141

原创 第五章 网络地址到物理地址的映射(ARP)

网络的互连首先要解决的问题就是网络地址到物理地址的映射,以太网接口分配的是一个48位的物理地址,而IP地址却只有32位,因此无法将它编码到IP地址中。有人建议可以构建一个中央数据库存储所有网络中的网络地址与物理地址对应组,需要时直接去访问这个中央数据库即可。可这个中央数据库的维护又是个更大的难题,更让人难以接受的是它的安全性。聪明的TCP/IP协议设计人员找到了一种创造性的方法。这个办法不需要维护...

2018-10-17 09:48:31 1865

原创 第四章 分类的因特网地址

按照IP地址的逻辑层次来分,IP地址可以分为A,B,C,D,E等5类。A类IP地址用于大型网络,B类IP地址用于中型网络,C类用于小规模网络,最多只能连接256台设备,D类IP地址用于多目的地址发送,E类则保留为今后使用。 1.A类IP地址   一个A类IP地址由1字节(每个字节是8位)的网络地址和3个字节主机地址组成,网络地址的最高位必须是“0”,即第一段数字范围为1~127。每个 A...

2018-10-16 15:07:06 608

无线通信FPGA设计

《无线通信FPGA设计》PDF版本+书中代码,详细讲解了一些无线通信算法,并通过FPGA实现了这些功能,同时上传了书中的代码。

2018-09-06

Python核心编程_第3版_源代码

Python核心编程_第3版_源代码。这里只是源码,本书描述了Python程序的基本构件:类型、操作符、语句、函数、模块、类以及异常,介绍了更多高级主题,包括复杂的实例。本书适合Python初学者,以及已经入门但想继续学习和提高自身Python技巧的程序员。

2018-08-27

超清扫描_于争_于博士《Cadence+SPB+15.7工程实例入门》

超清扫描_于争_于博士《Cadence+SPB+15.7工程实例入门》的书籍

2018-08-27

常见开发板在vivado上的开发工程

常见开发板在vivado上的开发工程,需要自己解压程序,该压缩包包含很多常用开发板的vivado例程,没有说明文档,只是工程。

2018-08-24

ZC706+AD9371

该代码是AD9371+zc706的标准应用程序,其中包含完整SDK的C代码,但是其中myk.c/myk.c.h/myk_ad9528init.h这三个文件要替换成你自己的配置。

2018-08-24

Qt高级编程 pdf

《qt高级编程》是一本阐述qt高级编程技术的书籍。《qt高级编程》以工程实践为主旨,是对qt现有的700多个类和上百万字参考文档中部分关键技术深入、全面的讲解和探讨,如丰富的网络/桌面应用程序、多线程、富文本处理、图形/视图架构、模型/视图架构等;另外,除对每章主题内容的探讨外,还给出了许多与之相关的类、方法和技术细节,从而尽可能多地展示了qt的各种特色。因此,即使是很有经验的qt程序开发人员,也可以从书中找出自己不曾注意到的技术点。

2017-10-23

黑金Sparten6开发板AX309 Verilog教程V3.1

这里是黑金Sparten6开发板AX309 Verilog教程V3.1,包含书籍以每个章节具体实现的源代码来进行讲解,非常适合FPGA初学者学习使用

2017-09-26

RS232通信程序设计

rs232是一种异步串行通信接口,这里使用verilog语言编写RS232代码,实现主机对系统进行寄存器配置,其中包含完整的vivado工程实现。

2017-09-26

verilog书籍

verilog书籍

2017-08-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除