Modelsim:# Error loading design

博主在初次使用Modelsim进行仿真时遇到#Errorloadingdesign的问题。经过检查文件包含、端口声明、模块名、端口类型以及仿真代码语法后,问题依旧存在。发现原先的仿真操作是在错误的位置进行的。改为正确位置仿真后,问题得到解决。博客分享了解决此问题的过程和经验。
摘要由CSDN通过智能技术生成

第一次使用Modelsim进行仿真,在元件文件和testbench文件都编译通过的情况下出现了,点击Simulate出现以下错误:

**# Error loading design**

根据CSDN其它前辈的经验需要进行如下检查:
1.检查文件是否未被包含且未加入工程。
2.检查设计文件的端口声明与实例化时的端口是否一致。
3.检查设计文件的模块名是否与实例化时的模块名一致。
4.检查未在顶层文件中修改的端口是否为wire(被这个坑了两周)。
5.仿真代码中的stop前面记得写$.

检查后果然发现问题,改正后重新仿真,错误代码依然出现。发现之前一直在以下地方点击进行的仿真:在这里插入图片描述
翻阅其它Modelsim使用的视频,发现有的人在以下地方进行仿真在这里插入图片描述
于是试了下,发现仿真成功,问题得到解决,也不知为什么会出现这个问题,如果有知道的朋友麻烦告知一下。

  • 21
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 10
    评论
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值