关于Quartus II 的实验指南与常见问题整理

声明:以下内容仅供参考,均为我自己试错,并且基于在网络上查找到的结果进行推断,因此结果可能有不对的地方,还请见谅~

实验指南:

此部分为在校时《集成电路设计》课程设计内容

  1. 四个实验的大致过程:

新建项目(每个小实验都要新建项目并且在独立的文件夹中) —> 新建Verilog HDL file —> 粘贴代码进去(录制前提前准备好代码放在记事本或者word里面) —> 编译 —> 新建波形文件University Program VWF —> Edit —> Insert —> Insert Node or Bus… —> Node Finede… —> List —> >> --> OK —> OK —> 此时基本的波形就建立好了 —> 配置数据 —>波形模拟 —> 看完波形回去看电路图 —> 看电路图 —> 结束

图为波形图配置

图为看电路图前的准备

图为看电路图的方法

常见问题整理:

  1. 安装ModelSim时选第一个,记住你装的路径
  1. 做实验二时先配置好ModelSim路径 格式为:xxx:\ModelSim\modelsim_ase\win32aloem
img
imgimg
  1. 做实验二时有个test.v 要选一下,选完要点Apply 然后点 OK
imgimg
img
  1. 解决:重新编译一下代码
img
  1. 代码有问题,比如关键字end 首字母大写了
img
  1. 实验二里的波形图太小了
img

解决:Ctrl + 滚轮 调整缩放 然后界面下面有拉伸条可以左右平移

  1. 除了实验二波形图以外报错:
img

解决:换用Quratus II 自带的仿真软件仿真:

img img

其他问题有待发现和补充……

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Luck1y

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值