quartus II 相同的RTL代码却不能得到正确的RTL视图?

本文探讨了一位开发者在使用Verilog编写3-8译码器时遇到的冗余latch问题,发现版本升级可能导致优化差异,并揭示了信号状态考虑的细节。通过实例说明了如何解决latch问题并理解else语句在特殊情况下的作用。
摘要由CSDN通过智能技术生成

使用Verilog编写的3-8译码器代码如下:

module decoder3_8
(
	input	wire		in1,
	input	wire		in2,
	input	wire		in3,
	
	output	reg  [7:0]	out
);

always @(*) 
	if({in1,in2,in3} == 3'b000)
		out = 8'b0000_0001;
	else if({in1,in2,in3} == 3'b001)
		out = 8'b0000_0010;
	else if({in1,in2,in3} == 3'b010)
		out = 8'b0000_0100;
	else if({in1,in2,in3} == 3'b011)
		out = 8'b0000_1000;	
	else if({in1,in2,in3} == 3'b100)
		out = 8'b0001_0000;
	else if({in1,in2,in3} == 3'b101)
		out = 8'b0010_0000;
	else if({in1,in2,in3} == 3'b110)
		out = 8'b0100_0000;
	else if({in1,in2,in3} == 3'b111)
		out = 8'b1000_0000;	
	else 									
		out = 8'b0000_0001;
endmodule

野火的教程中给出的RTL视图为在这里插入图片描述
但是实际综合之后生成的RTL视图为在这里插入图片描述
产生了很多冗余的latch,但是if-else段中已经加了else了,包括了未列举的情况,但是仍然产生了latch。

甚至直接用野火的代码都不能仿真出野火所给的图一的结果。

希望路过的大神解惑。

回来写答案:
1.教程是quartus13.0,而我的是13.1,所以综合出来的结果不同,上面两个是不同的 版本出来的正常的结果,知识13.0优化的比较好(这里也奇怪,新版本反而优化的差)
2.上面图中我自己生成的RTL视图中其实也没有Latch,当我把最后一句else注释掉之后
在这里插入图片描述
可以看到这时候最后一列才有latch,在这里插入图片描述

3.一个信号的状态不只有0和1,else考虑的是除了01组合之外的其他,比如x和z

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值