教你搭建linux下UVM+VCS基本平台,运行《UVM实战》中的第一个UVM代码并输出结果

今天在跑《UVM实战》中的2.2.1最简单的验证平台,搭建一个只有driver的UVM验证平台。书中只讲解了代码,没有说明最基本的UVM验证环境如何搭建,总结如下:
遇到问题:直接采用命令行vcs -full64 -sverilog my_driver.sv -l run.log编译时出现如下问题:
在这里插入图片描述
解决:后采用运行Makefile.vcs文件,UVM文件成功编译并输出结果。
在这里插入图片描述

UVM+VCS基本平台:
准备:UVM库,这儿我共享的是uvm-1.1a。
**第一步:**将uvm-1.1a.tar.gz放在linux系统中,放入后进行解压。可以放在tools文件夹下,如下图:
在这里插入图片描述
同时在.bashrc文件中配置环境变量,如下图:
在这里插入图片描述
**第二步:**如图所示,进入example目录,这里存放的Makefile.vcs文件用来编译UVM库,故在自己编译时可以在自己的Makefile.vcs中直接调用这个文件即可成功编译,此处注意调用时的路径要写对。
UVM库中带的编译文件(Makefile.vcs):我会将它单独共享出来,方便调用。
UVM库中带的编译文件
在这里插入图片描述
**第三步:**编写针对本设计的Makefile.vcs文件,在其中调用上述的Makefile.vcs文件完成编译,此时要注意路径正确。
在这里插入图片描述
本次设计的文件夹下主要存放四个文件,dut.sv my_driver.sv top_tb.sv三个设计及UVM验证文件;还有一个Makefile.vcs编译文件。
在这里插入图片描述
最后,输入命令:make -f Makefile.vcs 成功输出256次data is drived,则证明平台搭建正确。
在这里插入图片描述
UVM初学,如有不足,敬请批评指正!祝顺利!!!

  • 12
    点赞
  • 91
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
运行UVM实战代码,首先需要确保您的电脑上已经安装了合适的仿真工具和UVM库。以下是一些运行UVM实战代码的基本步骤: 1. 安装仿真工具:根据您的需求选择并安装合适的仿真工具,例如Cadence Incisive、Mentor Graphics Questa、Synopsys VCS等。确保您已经了解并掌握了该仿真工具的使用方法和基本命令。 2. 下载UVM库:访问Accellera Systems Initiative的官方网站或其他可信来源,下载UVM库的稳定版本。解压缩并将UVM库的路径设置到您的环境变量,以便仿真工具可以找到它。 3. 创建仿真环境:在UVM实战代码的文件夹创建一个仿真环境。仿真环境是实现虚拟测试平台的主要组成部分,它包括UVM结构、组件、测试用例、配置等。 4. 编译:使用仿真工具提供的命令编译UVM实战代码。根据仿真工具的不同,编译命令可能会有所不同,一般是使用工具特定的编译命令或脚本。 5. 运行仿真:执行仿真命令以启动仿真过程。仿真命令通常包括指定仿真文件、测试用例、仿真参数等。可以通过仿真工具的命令行界面或脚本来执行仿真。 6. 查看仿真结果:仿真运行完成后,可以查看仿真结果和报告。仿真结果包括仿真波形、日志、覆盖率等。根据仿真工具的不同,可以使用相应的工具或命令来查看这些结果。 以上是基本的UVM实战代码运行过程。在实际运行过程,可能还需要进行调试、修改代码、优化性能等操作,根据具体需求进行相应的处理。另外,还可以参考UVM实战代码的文档和程,以更深入地了解如何使用和优化UVM实战代码

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值