VCS仿真过程以及UVM库的安装编译

VCS进行仿真的过程有两种编译模式

1:在该存放 源文件 和 普通tb文件的 文件夹上开启终端,

        输入指令  vcs  -full64  -V -R  tb.v source.v -o simv -gui -debug_pp

这里注意 1.  是否需要 -full64 看你的配置文件 是否把这个设置别称 

                2.  这里把所有的文件都列出来   :  注意 _tb 文件应该是放在最前面

 

        打来仿真器后  选取相关信号  右键  ===》》 add  to waves  ---》》  new  wave view

 然后就是 点击  simulateor  start  开始仿真

这样可以查看波形了。

第二种方法是:

 生成 vpd 波形文件  用dve  打开可以查看波形

步骤1 :首先在 tb  文件中 加入、

                          initial  begin

                                   $vcdpluson;

                          end 

步骤2:在该文件夹上开启终端  执行  vcs  -f  filelist.f -debug_all   //  编译filelist.f 中列举的路径下的所有文件

        filelist.f 文件生成是  通过  在该终端上 输入指令: find  name "*.v" > filelist.f 

        则这个文件夹下的  .v  文件  以及 其子目录 下的.v 文件路径都写入到 该 .f   文件中。

 

步骤3:执行仿真  输入指令  ./simv

          其会生成.vpd 的波形文件

步骤4:使用dve 查看波形文件  输入指令 dve -vpd  *.vpd  (  *是生成该vpd 的 文件名字 )

步骤5:打开界面后  选中波形 右键选中的波形  add wave  -->  new wave  即可查看波形

 

uvm 库的安装编译:

https://mp.weixin.qq.com/s/jCSp89UYsEZGRU2BN5IloA    阿辉哥的这个写的已经很详细了。

UVM 的源码库  以及  UVM的实例都有。  可以按照其解压安装  然后文件配置。bashrc 文件编写。

解压安装好后:看是否平台搭建好了

查看这个eetop 的文档:http://bbs.eetop.cn/viewthread.php?tid=481243。 里面有一个UVM 的平台pdf 

 

出现这个问题  :  但是回去看我的bashcr没有问题,有人说是  VCS_HOME  设置错了 , 

如下是我的配置: 可供大家参考一下。

这个是别人  Linux 版本的 配置  我的是  amd64 版本的

最后查看其makefile  文件

修改了其中一个小地方

 

这个调用了另外一个makefile文件

在这个文件上进行修改

 

改了这个小地方

 

 

就将李强的  UVM 的源码进行稍微的改动

然后可以编译成功了。

所以库已经安装好了

 

 

 

 

 

 

 

                                      

 

 

 

 

 

 

 

 

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值