编写Verilog的几条经验

1、编写接口时,如果uut(底层模块)的两个(或以上)控制寄存器的有效位总和小于等于数据总线的位宽,可以将控制寄存器合并。

比如数据总线位宽为32位,uut有两个指令寄存器:cmd_mod(模式控制寄存器)、cmd_wr(读写控制寄存器)。这两个寄存器的有效位都是四位。那么编写接口时,可以将二者合并为一个。既省地址空间,又可实现同时赋值(我认为地址不同的两个寄存器是不能同时赋值的,至少相隔一个时钟,同一时钟内对地址总线赋值两次的话前一次的值会被覆盖掉)。代码举例:

if(wb_write) 
  begin
    case(wb_adr_i[6:2])
      5'h1: begin   //cmd_mod
	    cmd_mod[3:0] <= wb_dat_i[3:0];
 	    end
      5'h2: begin   //cmd_wr
	    cmd_wr[3:0] <= wb_dat_i[3:0];
	    end
    endcase
  end
可以写成:

if(wb_write) 
  begin
    case(wb_adr_i[6:2])
      5'h1: begin   //cmd
	    cmd[7:0] <= wb_dat_i[7:0];//cmd[7:0] = {cmd_mod[3:0], cmd_wr[3:0]}
            end
    endcase
  end
2、写testbench时,在一个时钟周期内对同一个变量进行两次赋值肯定有一次要被冲掉。比如"a=b; a=c;"中“a=b;”马上就被冲掉了,即便是非阻塞赋值也肯定要冲掉其中一个,具体是哪一个取决于编译环境。可改成"a=b; @(posedge clk); a=c;"这样隔一个时钟就不会被冲掉了。@(posedge clk); 语句是不可综合的,仅表示一个时钟的延时。


  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值