Verilog(2): Testbench

本文以Verilog中的Add_half模块为例,介绍了如何创建一个Testbench。Testbench使用initial关键字,并包含了刺激模式和停止条件。reg类型的变量表示可由执行语句赋值的信号,而wire类型则用于观察UUT的输出端口。测试平台模板包括了输入输出变量声明、UUT实例化、监控、停止计时器和事件驱动模拟的说明。
摘要由CSDN通过智能技术生成

以test  Add_half作为例子,testbench 关键字initial


module t_Add_half();

wire sum, c_out;

reg a,b;

Add_half M1 (c_out, sum, a, b); //UUT (Unit Under Test)

initial begin //Time out

 #100 $finish;

end

initial begin //Stimulus patterns

#10 a=0; b=0;

#10 b=1;

#10 a=1;

#10 b=0;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值