SystemVerilog 断言及其应用--(神州龙芯集成)

[摘要]:在介绍SystemVerilog 断言的概念、使用断言的好处、断言的分类、断言的组成以
及断言如何被插入到被测设计(DUT)的基础上,本文详细地介绍了如何使用不同的断言语句
对信号之间的复杂时序关系进行严格的检查,并针对每个例子展示了在ModelSim 6.1b 仿真
环境中所显示的波形。本文旨在帮助读者理解如何使用断言对设计中信号间复杂时序关系进
行验证的方法,并由此介绍一些基本的SystemVerilog 断言、操作符、代码段和断言验证方
法学。
关键字:SystemVerilog,断言, DUT, SVA,Assertion
1. 前言
当今,数字电路的规模和复杂度在不断增长,这使得对设计进行彻底的验证将成为一项巨大
的挑战。在整个芯片设计过程中,验证工作所需的时间将占去设计周期的70%~80%,验证
工程师的人数将是设计工程师的两倍。这就迫切需要提高验证工作的效率,以解决验证瓶颈
问题。
传统上,对被测设计(DUT)的验证都是通过在DUT 的输入端口加上具有特定时序激励,然后
观察DUT 的内部状态变化和最后的输出信号,以确定DUT 工作是否正确。这种方法对简单的
小规模的设计很有用。但当设计规模变大时,要想使用这种方法来验证DUT 是不现实的。因
为对于规模大的设计,要想遍历设计将遇到的各种情况,验证其正确性,需要成千上万的特
定时序激励。并且如果设计稍有一点变动,这些时序激励就得重新编写。设计的复杂性迫使
验证工程师使用随机测试平台来生成更多的验证激励。高级验证语言,如OVA,PSL 等,便在
创建复杂测试平台时得到了广泛的应用。但这些

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值