Modelsim查看断言

断言编译modelsim

在modelsim中开启断言编译和显示功能:

【编译verilog代码时按照system verilog进行编译】

vlog -sv abc.v     或者使用通配符编译所有的.v或者.sv文件 (  vlog -sv *.sv *.v)

【仿真命令加一个-assertdebug】

vsim -assertdebug -novopt testbench(testbench是顶层激励模块名)

【如果想看断言成功与否的分析,使用打开断言窗口的命令】

view assertions

断言显示结果如下:

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值