用Verilog实现8个LED灯以0.5s频率闪烁

以下为Verilog代码:

module led_flash(
    clk,reset,led
    );
    input clk;
    input reset;
    output reg [7:0]led;
    reg [25:0]counter;
    always@(posedge clk or negedge reset) begin
        if(!reset)begin
        led<=8'd1;
        counter<=0;
        end
        else if(counter==24999999)begin
        counter<=0;
        if(led==8'b1000_0000)
        led<=8'd1;
        else
        led<=led<<1;        
        end
        else
        counter<=counter+1;        
    end
endmodule

以下为testbench文件

`timescale 1ns / 1ns
module led8_flash_tb();
reg clk;
reg reset;
wire [7:0]led;
led_flash u1(
    .clk(clk),
    .reset(reset),
    .led(led)
);
initial clk=0;
always #10 clk=~clk;
initial begin
reset=0;
#201
reset=1;
#400000000
$stop;
end
endmodule

  • 3
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值