Magnetoresistive random-access memory(MRAM)简介

转自维基百科:https://en.wikipedia.org/wiki/Magnetoresistive_random-access_memory 点击打开链接

Magnetoresistive random-access memory (MRAM) is a non-volatile random-access memory technology available today that began its development in mid-1980s.[1]Continued increases in density of existing memory technologies — notably flash RAM and DRAM — kept it in a niche role in the market, but its proponents believe that the advantages are so overwhelming that magnetoresistive RAM will eventually become a dominant type of memory, potentially even becoming a universal memory.[2] It is currently in production by Everspin Technologies, and other companies, including GlobalFoundries and Samsung, have announced product plans.[3][4] A recent, comprehensive review article on magnetoresistance and magnetic random access memories is available as an open access paper in Materials Today.[5]

Description[edit]

Unlike conventional RAM chip technologies, data in MRAM is not stored as electric charge or current flows, but by magnetic storage elements. The elements are formed from two ferromagnetic plates, each of which can hold a magnetization, separated by a thin insulating layer. One of the two plates is a permanent magnet set to a particular polarity; the other plate's magnetization can be changed to match that of an external field to store memory. This configuration is known as a magnetic tunnel junction and is the simplest structure for an MRAM bit. A memory device is built from a grid of such "cells".

The simplest method of reading is accomplished by measuring the electrical resistance of the cell. A particular cell is (typically) selected by powering an associated transistor that switches current from a supply line through the cell to ground. Due to the tunnel magnetoresistance, the electrical resistance of the cell changes due to the relative orientation of the magnetization in the two plates. By measuring the resulting current, the resistance inside any particular cell can be determined, and from this the magnetization polarity of the writable plate. Typically if the two plates have the same magnetization alignment (low resistance state) this is considered to mean "1", while if the alignment is antiparallel the resistance will be higher (high resistance state) and this means "0".

Data is written to the cells using a variety of means. In the simplest "classic" design, each cell lies between a pair of write lines arranged at right angles to each other, parallel to the cell, one above and one below the cell. When current is passed through them, an induced magnetic field is created at the junction, which the writable plate picks up. This pattern of operation is similar to magnetic-core memory, a system commonly used in the 1960s. This approach requires a fairly substantial current to generate the field, however, which makes it less interesting for low-power uses, one of MRAM's primary disadvantages. Additionally, as the device is scaled down in size, there comes a time when the induced field overlaps adjacent cells over a small area, leading to potential false writes. This problem, the half-select (or write disturb) problem, appears to set a fairly large minimum size for this type of cell. One experimental solution to this problem was to use circular domains written and read using the giant magnetoresistive effect, but it appears this line of research is no longer active.

A newer technique, spin-transfer torque (STT) or spin-transfer switching, uses spin-aligned ("polarized") electrons to directly torque the domains. Specifically, if the electrons flowing into a layer have to change their spin, this will develop a torque that will be transferred to the nearby layer. This lowers the amount of current needed to write the cells, making it about the same as the read process.[citation needed] There are concerns that the "classic" type of MRAM cell will have difficulty at high densities due to the amount of current needed during writes, a problem that STT avoids. For this reason, the STT proponents expect the technique to be used for devices of 65 nm and smaller.[6] The downside is the need to maintain the spin coherence. Overall, the STT requires much less write current than conventional or toggle MRAM. Research in this field indicates that STT current can be reduced up to 50 times by using a new composite structure.[7] However, higher speed operation still requires higher current.[8]

Other potential arrangements include "thermal-assisted switching" (TAS-MRAM), which briefly heats up (reminiscent of phase-change memory) the magnetic tunnel junctions during the write process and keeps the MTJs stable at a colder temperature the rest of the time;[9] and "vertical transport MRAM" (VMRAM), which uses current through a vertical column to change magnetic orientation, a geometric arrangement that reduces the write disturb problem and so can be used at higher density.[10]

A review paper[11] provides the details of materials and challenges associated with MRAM in the perpendicular geometry. The authors describe a new term called "Pentalemma", which represents a conflict in five different requirements such as write current, stability of the bits, readability, read/write speed and the process integration with CMOS. The selection of materials and the design of MRAM to fulfill those requirements are discussed.

Simplified structure of an MRAM cell

Comparison with other systems[edit]

Density[edit]

The main determinant of a memory system's cost is the density of the components used to make it up. Smaller components, and fewer of them, mean that more "cells" can be packed onto a single chip, which in turn means more can be produced at once from a single silicon wafer. This improves yield, which is directly related to cost.

DRAM uses a small capacitor as a memory element, wires to carry current to and from it, and a transistor to control it – referred to as a "1T1C" cell. This makes DRAM the highest-density RAM currently available, and thus the least expensive, which is why it is used for the majority of RAM found in computers.

MRAM is physically similar to DRAM in makeup, and often does require a transistor for the write operation (though not strictly necessary). The scaling of transistors to higher density necessarily leads to lower available current, which could limit MRAM performance at advanced nodes.

Power consumption[edit]

Since the capacitors used in DRAM lose their charge over time, memory assemblies that use DRAM must refresh all the cells in their chips 16 times a second, reading each one and re-writing its contents. As DRAM cells decrease in size it is necessary to refresh the cells more often, resulting in greater power consumption.

In contrast, MRAM never requires a refresh. This means that not only does it retain its memory with the power turned off but also there is no constant power-draw. While the read process in theory requires more power than the same process in a DRAM, in practice the difference appears to be very close to zero. However, the write process requires more power to overcome the existing field stored in the junction, varying from three to eight times the power required during reading.[12][13] Although the exact amount of power savings depends on the nature of the work — more frequent writing will require more power – in general MRAM proponents expect much lower power consumption (up to 99% less) compared to DRAM. STT-based MRAMs eliminate the difference between reading and writing, further reducing power requirements.

It is also worth comparing MRAM with another common memory system — flash RAM. Like MRAM, flash does not lose its memory when power is removed, which makes it very common as a "hard disk replacement" in small devices such as digital audio players or digital cameras. When used for reading, flash and MRAM are very similar in power requirements. However, flash is re-written using a large pulse of voltage (about 10 V) that is stored up over time in a charge pump, which is both power-hungry and time-consuming. In addition, the current pulse physically degrades the flash cells, which means flash can only be written to some finite number of times before it must be replaced.

In contrast, MRAM requires only slightly more power to write than read, and no change in the voltage, eliminating the need for a charge pump. This leads to much faster operation, lower power consumption, and an indefinitely long lifetime.

Data retention[edit]

MRAM is often touted as being a non-volatile memory. However, the current mainstream high-capacity MRAM, spin-transfer torque memory, provides improved retention at the cost of higher power consumption, i.e., higher write current. In particular, the critical (minimum) write current is directly proportional to the thermal stability factor Δ.[14] The retention is in turn proportional to exp(Δ). The retention, therefore, degrades exponentially with reduced write current.

Speed[edit]

Dynamic random-access memory (DRAM) performance is limited by the rate at which the charge stored in the cells can be drained (for reading) or stored (for writing). MRAM operation is based on measuring voltages rather than charges or currents, so there is less "settling time" needed. IBM researchers have demonstrated MRAM devices with access times on the order of 2 ns, somewhat better than even the most advanced DRAMs built on much newer processes.[15] A team at the German Physikalisch-Technische Bundesanstalt have demonstrated MRAM devices with 1 ns settling times, better than the currently accepted theoretical limits for DRAM, although the demonstration was a single cell.[16] The differences compared to flash are far more significant, with write speeds as much as thousands of times faster. However, these speed comparisons are not for like-for-like current. High-density memory requires small transistors with reduced current, especially when built for low standby leakage. Under such conditions, write times shorter than 30 ns may not be reached so easily. In particular, to meet solder reflow stability of 260°C over 90 seconds, 250 ns pulses have been required.[17] This is related to the elevated thermal stability requirement driving up the write bit error rate. In order to avoid breakdown from higher current, longer pulses are needed.

For the perpendicular STT MRAM, the switching time is largely determined by the thermal stability Δ as well as the write current.[18] A larger Δ (better for data retention) would require a larger write current or a longer pulse. A combination of high speed and adequate retention is only possible with a sufficiently high write current.

The only current memory technology that easily competes with MRAM in terms of performance at comparable density is static random-access memory (SRAM). SRAM consists of a series of transistors arranged in a flip-flop, which will hold one of two states as long as power is applied. Since the transistors have a very low power requirement, their switching time is very low. However, since an SRAM cell consists of several transistors, typically four or six, its density is much lower than DRAM. This makes it expensive, which is why it is used only for small amounts of high-performance memory, notably the CPU cache in almost all modern central processing unit designs.

Although MRAM is not quite as fast as SRAM, it is close enough to be interesting even in this role. Given its much higher density, a CPU designer may be inclined to use MRAM to offer a much larger but somewhat slower cache, rather than a smaller but faster one. It remains to be seen how this trade-off will play out in the future.

Endurance[edit]

The endurance of MRAM is affected by write current, just like retention and speed, as well as read current. When the write current is sufficiently large for speed and retention, the probability of MTJ breakdown needs to be considered.[19] If the read current/write current ratio is not small enough, read disturb becomes more likely, i.e., a read error occurs during one of the many switching cycles. The read disturb error rate is given by 1 - exp(-(tread/τ)/exp(Δ(1-(Iread /Icrit)))), where τ is the relaxation time (1 ns) and Icrit is the critical write current.[20] Higher endurance requires a sufficiently low Iread/Icrit. However, a lower Iread also reduces read speed.[21]

Overall[edit]

MRAM has similar performance to SRAM, enabled by the use of sufficient write current. However, this dependence on write current also makes it a challenge to compete with the higher density comparable to mainstream DRAM and Flash. Nevertheless, some opportunities for MRAM exist where density need not be maximized.[22] From a fundamental physics point of view, the spin-transfer torque approach to MRAM is bound to a "rectangle of death" formed by Retention, Endurance, Speed, and Power requirements, as covered above.

Design parameter levelRetentionEnduranceSpeedPower
High write current+- (breakdown)+-
Low write current-- (read disturb)-+
High Δ+- (breakdown)-- (higher current)
Low Δ-- (read disturb)++ (lower current)

While the power-speed tradeoff is universal for electronic devices, the endurance-retention tradeoff at high current and the degradation of both at low Δ is problematic. Endurance is largely limited to 108 cycles.[23]

Alternatives to MRAM[edit]

Flash and EEPROM's limited write-cycles are a serious problem for any real RAM-like role. In addition, the high power needed to write the cells is a problem in low-power roles, where non-volatile RAM is often used. The power also needs time to be "built up" in a device known as a charge pump, which makes writing dramatically slower than reading, often as low as 1/1000th as fast. While MRAM was certainly designed to address some of these issues, a number of other new memory devices are in production or have been proposed to address these shortcomings.

To date, the only similar system to enter widespread production is ferroelectric RAM, or F-RAM (sometimes referred to as FeRAM).

Also seeing renewed interest are silicon-oxide-nitride-oxide-silicon (SONOS) memory and ReRAM3D XPoint has also been in development, but is known to have a higher power budget than DRAM.[24]

History[edit]

Most of the following is from the mram-info Web site:

  • 1955 — Magnetic core memory had the same reading writing principle as MRAM
  • 1984 — Arthur V. Pohm and James M. Daughton, while working for Honeywell, developed the first magnetoresistance memory devices.[25][26]
  • 1984 — GMR Effect discovered
  • 1988 — European scientists (Albert Fert and Peter Grünberg) discovered the "giant magnetoresistive effect" in thin-film structures.
  • 1989 — Pohm and Daughton left Honeywell to form Nonvolatile Electronics, Inc. (later renamed to NVE Corp.) sublicensing the MRAM technology they have created.[25]
  • 1995 — Motorola (later to become Freescale) initiates work on MRAM development
  • 1996 — Spin Torque Transfer is proposed[27][28]
  • 1998 — Motorola develops 256Kb MRAM Test Chip[29]
  • 2000 — IBM and Infineon established a joint MRAM development program.
  • 2000 — Spintec laboratory's first Spin Torque Transfer patent.
  • 2002
    • NVE Announces Technology Exchange with Cypress Semiconductor.
    • Toggle patent granted to Motorola[30]
  • 2003 — A 128 kbit MRAM chip was introduced, manufactured with a 180 nm lithographic process
  • 2004
    • June — Infineon unveiled a 16-Mbit prototype, manufactured with a 180 nm lithographic process
    • September — MRAM becomes a standard product offering at Freescale.
    • October — Taiwan developers of MRAM tape out 1 Mbit parts at TSMC.
    • October — Micron drops MRAM, mulls other memories.
    • December — TSMC, NEC, Toshiba describe novel MRAM cells.
    • December — Renesas Technology promotes a high performance, high-reliability MRAM technology.
    • Spintech laboratory's first observation of Thermal Assisted Switching (TAS) as MRAM approach.
    • Crocus Technology is founded; the company is a developer of second-generation MRAM
  • 2005
    • January — Cypress Semiconductor samples MRAM, using NVE IP.
    • March — Cypress to Sell MRAM Subsidiary.
    • June — Honeywell posts data sheet for 1-Mbit rad-hard MRAM using a 150 nm lithographic process
    • August — MRAM record: memory cell runs at 2 GHz.
    • November — Renesas Technology and Grandis collaborate on development of 65 nm MRAM employing spin torque transfer (STT).
    • November — NVE receives an SBIR grant to research cryptographic tamper-responsive memory.[31]
    • December — Sony announced the first lab-produced spin-torque-transfer MRAM, which utilizes a spin-polarized current through the tunneling magnetoresistance layer to write data. This method consumes less power and is more scalable than conventional MRAM. With further advances in materials, this process should allow for densities higher than those possible in DRAM.
    • December — Freescale Semiconductor Inc. demonstrates an MRAM that uses magnesium oxide, rather than an aluminum oxide, allowing for a thinner insulating tunnel barrier and improved bit resistance during the write cycle, thereby reducing the required write current.
    • Spintec laboratory gives Crocus Technology exclusive license on its patents.
  • 2006
    • February — Toshiba and NEC announced a 16 Mbit MRAM chip with a new "power-forking" design. It achieves a transfer rate of 200 MB/s, with a 34 ns cycle time, the best performance of any MRAM chip. It also boasts the smallest physical size in its class — 78.5 square millimeters — and the low voltage requirement of 1.8 volts.[32]
    • July — On July 10, Austin Texas — Freescale Semiconductor begins marketing a 4-Mbit MRAM chip, which sells for approximately $25.00 per chip.[33][34]
  • 2007
    • R&D moving to spin transfer torque RAM (SPRAM)
    • February — Tohoku University and Hitachi developed a prototype 2-Mbit non-volatile RAM chip employing spin-transfer torque switching.[35]
    • August — "IBM, TDK Partner In Magnetic Memory Research on Spin Transfer Torque Switching" IBM and TDK to lower the cost and boost performance of MRAM to hopefully release a product to market.[36]
    • November — Toshiba applied and proved the spin transfer torque switching with perpendicular magnetic anisotropy MTJ device.[37]
    • November — NEC Develops World's Fastest SRAM-Compatible MRAM With Operation Speed of 250 MHz.[38]
  • 2008
    • Japanese satellite, SpriteSat, to use Freescale MRAM to replace SRAM and FLASH components[39]
    • June — Samsung and Hynix become partner on STT-MRAM [4]
    • June — Freescale spins off MRAM operations as new company Everspin[40]
    • August — Scientists in Germany have developed next-generation MRAM that is said to operate as fast as fundamental performance limits allow, with write cycles under 1 nanosecond.
    • November — Everspin announces BGA packages, product family from 256Kb to 4Mb[41]
  • 2009
    • June — Hitachi and Tohoku University demonstrated a 32-Mbit spin-transfer torque RAM (SPRAM).[42]
    • June — Crocus Technology and Tower Semiconductor announce deal to port Crocus' MRAM process technology to Tower's manufacturing environment[43]
    • November — Everspin releases SPI MRAM product family[44] and ships first embedded MRAM samples
  • 2010
    • April — Everspin releases 16Mb density [45][46]
    • June — Hitachi and Tohoku Univ announced Multi-level SPRAM[47]
  • 2011
    • March — PTB, Germany, announces having achieved a below 500 ps (2GBit/s) write cycle[48]
  • 2012
  • 2013
    • November — Buffalo Technology and Everspin announce a new industrial SATA III SSD that incorporates Everspin’s Spin-Torque MRAM (ST-MRAM) as cache memory.[52]
  • 2014
    • January — Researchers announced the ability to control the magnetic properties of core/shell antiferromagnetic nanoparticles using only temperature and magnetic field changes.[53]
  • 2016
    • April — Samsung's semiconductor chief Kim Ki-nam says Samsung is developing an MRAM technology that "will be ready soon".[54]
    • July — IBM and Samsung report an MRAM device capable of scaling down to 11 nm with a switching current of 7.5 microamps at 10 ns.[55]
    • August — Everspin announces it was shipping samples of the industry's first 256Mb ST-MRAM to customers[56]
    • December — Inston and Toshiba independently present results on voltage-controlled MRAM at International Electron Devices Meeting[57]

Applications[edit]

Proposed uses for MRAM include devices such as:


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
一、引言 自1956年IBM推出第一台硬盘驱动器IBM RAMAC 350至今已有四十多年了,其间虽没有CPU那种令人眼花缭乱的高速发展与技术飞跃,但我们也确实看到,在这几十年里,硬盘驱动器从控制技术、接口标准、机械结构等方面都进行了一系列改进。正是这一系列技术上的研究与突破,使我们今天终于用上了容量更大、体积更小、速度更快、性能更可靠、价格更便宜的硬盘。   如今,虽然号称新一代驱动器的JAZ,DVD-ROM,DVD-RAM,CD-RW,MO,PD等纷纷登陆大容量驱动器市场,但硬盘以其容量大、体积小、速度快、价格便宜等优点,依然当之无愧地成为桌面电脑最主要的外部存储器,也是我们每一台PC必不可少的配置之一。   二、硬盘磁头技术 1、磁头 磁头是硬盘中最昂贵的部件,也是硬盘技术中最重要和最关键的一环。传统的磁头是读写合一的电碗感应式磁头,但是,硬盘的读、写却是两种截然不同的操作,为此,这种二合一磁头在设计时必须要同时兼顾到读/两种特性,从而造成了硬盘设计上的局限。而MR磁头(Magnetoresistive heads),即磁阻磁头,采用的是分离式的磁头结构:写入磁头仍采用传统的磁感应磁头(MR磁头不能进行写操作),读取磁头则采用新型的MR磁头,即所谓的感应写、磁阻读。这样,在设计时就可以针对两者的不同特性分别进行优化,以得到最好的读/写性能。另外,MR磁头是通过阻值变化而不是电流变化去感应信号幅度,因而对信号变化相当敏感,读取数据的准确性也相应提高。而且由于读取的信号幅度与磁道宽度无关,故磁道可以做得很窄,从而提高了盘片密度,达到200MB/英寸2,而使用传统的磁头只能达到20MB/英寸2,这也是MR磁头被广泛应用的最主要原因。目前,MR磁头已得到广泛应用,而采用多层结构和磁阻效应更好的材料制作的GMR磁头(Giant Magnetoresistive heads)也逐渐普及。
This manual describes the functional, mechanical and interface specifications for the following Seagate Pipeline HD® SATA model drives: These drives provide the following key features: •5900 RPM spindle speed •High instantaneous (burst) data-transfer rates with a default of 6Gb/s (ST1000VM002) and 3Gb/s (ST1500VM002 and ST2000VM002). Limit settings for 1.5Gb/s and 3.0Gb/s are available via S.M.A.R.T. Command Transport command. •Support for S.M.A.R.T. drive monitoring and reporting •Perpendicular recording, Tunneling Magnetoresistive (TMR) recording heads and EPRML technology, for increased areal density •State-of-the-art cache and on-the-fly error-correction algorithms •Native Command Queueing with command ordering to increase performance in demanding applications •Full-track multiple-sector transfer capability without local processor intervention •Quiet operation •Compliant with RoHS requirements in China and Europe •SeaTools diagnostic software performs a drive self-test that eliminates unnecessary drive returns. •Supports latching SATA cables and connectors •Worldwide Name (WWN) capability uniquely identifies the drive. •Supports Trusted Send/Receive Security Protocol •Reliability for 24×7 video applications •Supports ATA8 streaming commands •Supports power-up in the standby feature •Supports Trusted Send/Receive Security Protocol •Performance-tuned for seamless video applications •Built-in error recovery for non-stop video streaming •Best-in-class acoustic performance means virtually silent operation •Enhanced caching capabilities enable superior video recording quality.

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值