【FPGA - 类型题(一)】加法器

1.输入一个8bit的2进制数,检测出其中1的个数;如果只能使用1bit全加器,需要使用几个?

  • 从输入长度=3,开始推导规律如下:
    推导过程方法总结:
  • 设数据a0~an,依次将数据输入全加器3个端口(a,b,cin),当检测数据位数超过3时,增加一个全加器,剩余待累加数据小于2bit时,补上过程中获得的Sum项,直到把所有的输入项加完,继续将前期获得的全部Sum项输入全加器,得到写Sx作为bit0;
  • 将过程中获得的所有Carry项输入累加器,当检测数据位数超过3时,增加一个全加器,直到把所有Carry项加完,得到的Sy=bit1;最后一个全加器的输入Sz=bit2,Cy=bit3
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值