自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 资源 (16)
  • 收藏
  • 关注

原创 【FPGA】FPGA学习笔记

D触发器是上升沿前面的低电平将信号加载到D端,上升沿时Q端输出D端的信号task可以对testbench中所有的reg变量赋值mealy状态机:输出和输入及当前状态有关;moore状态机和输入无关,只和当前状态有关独热码用的寄存器数量多,但用的组合逻辑数量少FPGA避免锁存器出现,因为锁存器的延迟时不固定的,后仿会有比较大的问题do文件仿真建立库映射库到物理目录编译源代码启动...

2020-03-18 18:50:23 472

原创 【ISE】

ise调用modelsim中,如果已经出现modelsim的gui加载成功的提示,但是却没有窗口弹出或者闪退,可以再安装目录下将ise设置位管理员模式启动,win7,即可。

2020-09-27 14:08:13 317

原创 【FPGA】modelsim的run.do文件撰写

tb文件比较方便的撰写方法,包括各种例化库的生成本文介绍的方法是借助于quartus生成的包含altera库的方法,xilinx待学习步骤如下:编写tb文件在quartus中配置eda工具选项下的仿真参数在工程中,eda下的参数设置中添加tb文件使用tool-下rtl级仿真,完成初次调用在quartus的仿真工程目录下找到类似于ex_spi_run_msim_rtl_verilog...

2020-04-06 11:51:18 2059

原创 【Office】关于分节后标题行段前距离不足/段前设置不生效的问题

在word中会遇到段前设置不生效的问题,比如一级标题段前24磅断后18磅,但实际效果中段前的显示不出来,如下:解决方案如下:切换到大纲视图,可以看到在章节标题前的分节符前有个减号,这个减号位置处大纲级别为1级,将其改为正文即可再切换回正文视图就可以看到改后的效果...

2020-03-08 22:58:15 9534 2

原创 【Allegro】关于焊盘与封装制作

焊盘焊盘类型:规则焊盘(Regular Pad),反焊盘(Anti-Pad),热风焊盘,不规则焊盘。Regular Pad规则焊盘,即与元器件管脚焊接的有规则形状的焊盘。Anti-Pad反焊盘,使引脚和周围的铜区域不连接。Thermal Relief又称为花焊盘,热风焊盘,它的主要作用通过减少焊盘与电源和地层敷铜区的连接面积而防止焊接时焊盘散热太快不好焊。热风焊盘需要现在alleg...

2019-12-17 15:31:02 3481

原创 【Allegro】PCB设计小技巧

关于放置过孔常用的方法是在走线的时候双击添加过孔(或者在选好打通范围情况下,直接双击也可以增加一个过孔)如果有修改过孔的需求,可以在generaledit模式下选中过孔,右键,replace padstack 筛选需要的过孔即可...

2019-12-06 10:08:00 793

原创 【cadence】关于在cadence中标号的问题

orcad中元器件实例化后有两个属性,对应子啊属性中为白色部分为instance属性,黄色部分为occurence属性当两个属性一致的时候,黄色部分是默认不显示的。如果防止元器件是通过copy和paste进行的,那么就会吧partreference的属性值一起copy过来,而在重新annotate的时候,这个值不会修改,就会造成两个编号不一样。容易在后边生成网表时候报错。一种方法是手...

2019-11-25 10:47:57 1904

原创 【AD】AD bga扇出指南

修改电路设计规则:(无法正确扇出多数是因为默认规则没有修改,如有不同的扇出需求,可在完成一个扇出后再次修改rules) Clearance width(推荐线宽与最小线宽) via(推荐直径与最小直径) fanout control 右键,component actions -- fanout component fanout options 上一行前三个是只选1,...

2019-07-26 13:53:44 15226

原创 【电路】ADI电路-杨

第5册6.4线性稳压电源线性稳压电源:(实验室常用电源)内部使用低频变压器,优点是输出纹波小,缺点是效率低,成本高开关稳压电源:内部使用高频变压器,效率高,缺点是纹波大...

2019-05-07 17:12:00 274

原创 【PCB】ADI公司电路仿真与PCB设计

EMC是指设备在电磁环境下保持良好性能,并且不会像环境中任何器件引入电磁干扰的能力外部电器活动产生的噪声为电磁干扰EMI

2019-05-07 14:34:44 822

转载 【PCB】关于回流路径

https://www.sohu.com/a/259892918_819258回流的基本概念数字电路的原理图中,数字信号的传播是从一个逻辑门向另一个逻辑门,信号通过导线从输出端送到接收端,看起来似乎是单向流动的,许多数字工程师因此认为回路通路是不相关的,毕竟,驱动器和接收器都指定为电压模式器件,为什么还要考虑电流呢!实际上,基本电路理论告诉我们,信号是由电流传播的,明确的说,是电子的运动,...

2019-05-06 13:05:44 7543 1

原创 【PCB】关于PCB设计的一些内容

运放电路设计 https://mp.weixin.qq.com/s/26fVs_dpUWSiqA9ALtNFpg去耦电容:电源附近的旁路电容:芯片的电源管脚根部,10-0.1-0.01uF电容组,用于滤除高频噪声,防止自己影响别人。大电容负责低频段,小电容负责高频段。10uF/0.1uF,4.7uF/0.01uF,10uF/0.01uF关于旁路电容走线先经过大电容,在经过...

2019-05-06 11:34:07 1302

原创 麦子学院视频教程笔记

麦子学院视频教程笔记函数积分图style.use()调用patplotlib的风格np.linspace的num默认参数为50figtext用这个 给fig添加文本import numpy as npimport matplotlib.pyplot as pltfrom matplotlib.patches import Polygondef func(x): ret...

2019-04-15 13:43:26 795

原创 【AD】关于蛇形布线和等长处理

添加类:做等长处理的往往是多根线,需要将多根线归成一类,设置netclass方法如下:在原理图中:Place-directives-BlanketPlace-directives-NetClass标记结果如下:仅作参考,比如将电源归位电源类在参数Vlaue中修改 netclass的值在PCB面板右下角打开PCB工具在左侧导航栏中,右键addclass...

2019-02-19 10:35:45 10763

原创 【pyqt】学习笔记 知识框架

PyQt基本窗口控件4-1 QMainWindow4-2 QWidget4-3 QLabel4-4 文本类控件QlineEditQtextEdit 4-5 按钮类控件QABSTRACButtonQPushButtonQRadioButtonQcheckBox4-6 QComBox(下拉列表框)4-7 QSpinBox...

2018-06-11 15:02:36 623

原创 【机器学习】MatConvNet的一些神坑

最近在做机器学习作业,内容是MatConvNet的操作,内容是用 1. pre-trained模型跑几个demo 2. gpu模式训练模型遇到了几个神坑,在此备注与说明一下。 先说一下电脑配置以及需要的软件 win7 64位 matlab 2016a GPU nvidia gt 650m VS 2013 matconvnet版本 1.0beta20 ...

2018-06-08 17:26:54 2510 2

原创 【python】PYQT5 vscode联合操作 qtdesigner

除了使用pycharm外,还可使用vscode来操作pyqt,方法如下:1. 在vscode中配置相关的pyqt的相关根据自己实际情况修改第一项pyqt的路径2. 创建一个文件夹,右键,最后一项可以创建一个新窗口创建的新窗口后缀为 .ui右键中有以下四个选项,分别是创建一个新窗口,预览,编辑,编译产生py文件。我们在designer中设计完成后,通过最后一个编译生成文件。通过pycharm生成的为...

2018-05-11 23:02:59 25904 3

原创 【python爬虫】关于403问题的反爬处理

今天在学习 小甲鱼 的python爬虫,遇到下面问题首先,贴上今天找到的一个技巧在python报错之后,定位c:之前的那个语句基本上就是出错的地方。1.urllib.error.HTTPError: HTTP Error 403: Forbidden这个问题是由于网站的反爬机制,如果用 urllib.request.urlopen 方式打开一个URL,服务器端只会收到一个单

2018-01-28 11:15:02 32592 2

转载 【MatLab】 GUI 学习笔记-数据传递

handles 在gui中就是一个储存各种句柄和数据结构体.guidata()函数是用来储存和取出这个结构体的函数, 将handles结构体存入figure的特定储存空间中或从中取出, 因此有两种用法:1. guidata(hObject, handles); %%储存handles2. handles = guidata(hObject); %%取出handleshand

2017-08-29 17:41:27 1689

转载 模拟地和数字地

二者本质是一直的,就是数字地和模拟地都是地。要明白为什么要分开,先听一个故事;我们公司的商务楼,2楼是搞模拟的,3楼是搞数字的,整幢楼只有一部电梯,平时人少的时候还好办,上2楼上3楼互不影响,但每天上下班的时候就不得了了,人多得很,搞数字的要上3楼,总是被2楼搞模拟的人影响,2楼模拟的人要下楼,总是要等电梯上了3楼再下来,互相影响很是麻烦,商务楼的物业为解决这个问题,提出了2个方案:第1个(笑死人

2017-07-26 08:44:05 1436 2

原创 【FPGA】关于ISE调用modelsim缺少仿真文件

原因,缺少编译库(我之前在13.1中生成过1次,后来重装了软件,把编译库给丢掉了,所以要重新生成)1从Windows的Start Menu开始,Xilinx ISE Design Suite 14.7 —〉EDK —〉Tools —〉Compile Simulation Libraries按照提示编译好library,编译的library输出目录是: D:\Xilinx\14.7\IS

2017-04-30 15:16:35 3214 2

转载 IBUFDS、IBUFGDS和OBUFDS

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。IBUFDS 是差分输入的时候用,OBUFDS是差分输出的时候用,而IBUFGDS则是时钟信号专用的输入缓冲器。下面详细说明: IBUFDSDifferential Signaling Input Buffer with Selectable I/O Interf

2017-04-26 11:39:13 18976

转载 DCM与PLL

The DCM is a Digital Clock Manager - at its heart it is a Delay Locked Loop. This has the ability to deskew a clock, generate different phases of the clock, dynamically change the phase of a clock,

2017-04-24 22:04:54 960

转载 常用UCF语句

下面介绍几种常用的约束语句,以备查阅。 NET "clk0" TNM_NET = "sys_clk_grp"; #在时钟网线clk上附加一个TNM_NET约束,把clk0驱动的所有同步元件定义为一个名为sys_clk的分组#使用TIMESPEC约束sys_clk_grp的周期TIMESPEC "TS_ sys_clk_grp " = PERIOD " sys_clk_g

2017-04-19 18:31:44 2619

转载 ISE中RTL Schematic和Technology Schematic之间的区别

After XST synthesis is completed, I am able to view both RTL and technology schematic.I frequently observe discrepancies between these two schematics.What is the difference between them? 

2017-04-17 16:31:12 5324

转载 bram和dram区别

Dram和bram区别:    1、bram 的输出需要时钟,dram在给出地址后既可输出数据。    2、bram有较大的存储空间,是fpga定制的ram资源;而dram是逻辑单元拼出来的,浪费LUT资源    3、dram使用更灵活方便些补充:在Xilinx Asynchronous FIFO CORE的使用时,有两种RAM可供选择,Block memo

2017-04-16 21:13:45 2214

原创 【AD】AltiumDesign多层板设计

1。多层板在内电层切割是,尽量做到相同电压元件放置在一起,这样一来,能够在做内电层切割时,把相同的部分切割在一起2.如果部分相同电压元件迫不得已不能放在一起,在做内电层切割时应该拉一段区域放在一块3.退偶电容原则上放在FPGA芯片下方,使退偶起到效果4.过孔可以打在焊盘的下方5.高速版在地层设计中,把数字地,模拟地分开,如果有可能,尽量把不同电压的地也分开,然后通过零欧电阻连到一起...

2017-02-14 23:30:54 3632

原创 Altiumdesign中信号层的使用

1PCB信号层是同顶层、底层布线相同的铜导电层,只不过是夹在顶层和底层之间的布线层。每层都会有电源层。2而内部导电层(内电层)是内部电源和地层(并通过通孔与各层贯通的层),内电层设计时和信号层布线相反,★(因为它是负片)★不画线的地方是有铜导电层,设计画线的地方是没有导电铜层的,这就是它们的区别。3内电层主要作为屏蔽地或电源层来使用的,对于多个电源,就需要对电源层进行分割,(当然如

2017-02-14 23:26:28 2130

原创 【AD】AltiumDesign设计小技巧

1、统一设置PCB中注释字体的大小:在PCB编辑状态,鼠标指向任一需要修改的丝印字符,右键选择find similar object,这时会弹出的对话框中将代表元件字符的选项右边对应选项改为same,点击OK,会出现inspector面板,将width和height改为自己需要的字粗和高度。2、切换反面V->B,正反面切换在拖动元器件的同时,按下L,该元器件自动到底层去了。...

2017-02-14 23:25:03 2197

原创 补充1run文件-ise

打开modesim输入工程名字,位置等然后输入工程,定位工程位置命令窗口输入 do run.do修改所有错误之后复制FIFO_GENERATOR_V8_1在ise安装路径下在src中搜索缺少的文件复制,.v文件粘贴在工程仿真的目录下新建的ise_lib下以及这个全局文件也要粘贴过去

2017-02-14 20:50:13 564

转载 电路为什么要有触发器这种结构?

版权声明作者:young cc链接:https://www.zhihu.com/question/35128735/answer/61319380来源:知乎电路为什么要有触发器这种结构?为什么要用时钟同步起来呢?一个乘法器如果不设计成触发的会是什么状态?最近在想电路同步异步的时候想到这个问题,现在还是大二,感觉知识不够无法从宏观角度理解题主,这是个很好的问题,因为触发器

2017-01-12 22:55:54 2683

转载 ISE调用ue

1、ISE13.3的文本编辑器(Text Editor)可使用自带的“ISE Text Edit”或外部第三方文本编辑器,在“Edit|Preference|ISE General|Editors”中设置,其中外部文本编辑器有Custom和Ultra Edit两种,Custom可设置成任何第三方编辑器如Windows系统的“记事本”和“写字板”、非常流行的Ultraedit和NotePad++等。

2017-01-08 16:35:23 4044

转载 用ISE14.7引用功能强大的UltraEdit编写Verilog

对于FPGA初学者来说,使用FPGA编译软件所带的编辑器写代码已经完全够用,但随着工程的不断增大,ISE自带的编辑器用起来显得有点力不从心,所以本文中我将为大家分享一款功能强大的编辑软件UltraEdit。  编辑软件有很多,如vim、notepad++以及本文要介绍的UltraEdit简称UE等等。本文将附上windows10上UltraEdit安装教程及开发软件资源链接。阅读全文前,请

2017-01-08 16:27:36 7692

转载 Verilog中顺序语句的理解

顺序语句是执行完一句再执行下一句,如果有非阻塞就要按照并行处理,再说几个概念:并行,顺序:verilog主要的模块之间都是并行执行的,例如各个always之间 如果你在一个always中要对a赋值,而在另一个always中要使用a的值,这时候就要注意了,两者并行的,处理先后不能确定。 你当前处理的a,是这个时钟被赋值的还是上一时钟被赋值的,意义可能完全不同,这就是并行需要考虑的问题。 而在al

2017-01-07 17:18:49 13195 2

原创 AD 多层板设计

1。多层板在内电层切割是,尽量做到相同电压元件放置在一起,这样一来,能够在做内电层切割时,把相同的部分切割在一起2.如果部分相同电压元件迫不得已不能放在一起,在做内电层切割时应该拉一段区域放在一块3.退偶电容原则上放在FPGA芯片下方,使退偶起到效果4.过孔可以打在焊盘的下方5.高速版在地层设计中,把数字地,模拟地分开,如果有可能,尽量把不同电压的地也分开,然后通过零欧电阻连到一起

2016-12-29 16:19:32 3216

转载 AD添加自定义logo

本文以Altium designer 6.9为例,其他版本大同小异:1.点击DXP→Run Script..2.点击Browse 打开:AD的安装目录下\Altium Designer 6\Examples\Scripts\Delphiscript Scripts\Pcb\PCB Logo Creator\PCBLogoCreator.PRJSCR文件 3.选中RunConverter

2016-12-28 15:56:21 3299

THS6012 用户指南

THS6012用户指南,主要是THS6012的一些使用说明等内容

2019-05-06

变压器与电感器设计手册

变压器与电感器设计手册([美]Colonel We.T.Mclyman 卡罗尼尔.麦克莱曼编著 周京华等译 中国电力出版社2014年1月第4版)

2019-04-27

机器人制作晋级攻略

机器人制作晋级攻略 机器人制作晋级攻略_(美)库克著;宫广骅译_人民邮电出版社_2013(ED2000.COM)

2018-08-28

序列优化算法改写

中国科学院大学 电子学院 机器学习与应用 作业 序列优化算法改写

2018-06-12

GMMs函数改写

中国科学院大学 电子学院 机器学习与应用作业gmms改写

2018-06-12

SVM 拉格朗日对偶解释

关于机器学习中 SVM拉格朗日对偶的一些解释以及相关的求解问题

2018-06-12

matlab科学计算应用

matlab科学计算,国科大课程讲义 ,中国科学院大学。。。

2017-12-15

信号发生器设计

信号发生器设计

2017-04-13

AD的元件库

2017-02-14

ise下使用run.do文件

ise下使用run.do文件

2017-02-14

FPGA最小系统

FPGA的最小系统设计

2017-01-04

DB4CE15核心

2016-12-28

AD内电层切割

AD内电层切割

2016-12-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除