【FPGA】modelsim的run.do文件撰写

本文介绍了如何利用Quartus生成的run.do文件进行FPGA仿真,包括设置EDA工具选项、添加tb文件、运行RTL仿真、编辑do文件以避免重复编译ALTERA库。同时,文章提到了在modelsim中创建工程、编译源代码和启动仿真的步骤,以及处理sdf和sdo文件的方法。对于Xilinx项目,通过ISE调用modelsim的udo和fdo文件进行了说明。
摘要由CSDN通过智能技术生成

tb文件比较方便的撰写方法,包括各种例化库的生成


本文介绍的方法是借助于quartus生成的包含altera库的方法,xilinx待学习
步骤如下:

  1. 编写tb文件
  2. 在quartus中配置eda工具选项下的仿真参数
  3. 在工程中,eda下的参数设置中添加tb文件
  4. 使用tool-下rtl级仿真,完成初次调用
  5. 在quartus的仿真工程目录下找到类似于ex_spi_run_msim_rtl_verilog.do的do文件
  6. 修改文件中的设置内容,屏蔽掉前面对alera的库编译(因为altera的仿真库只需要编译一次即可,所以后面可以直接屏蔽)
quit -sim
.main clear
transcript on
if ![file isdirectory verilog_libs] {
	file mkdir verilog_libs
}

#vlib verilog_libs/altera_ver
#vmap altera_ver ./verilog_libs/altera_ver
#vlog -vlog01compat -work altera_ver {d:/program/intelfpga_lite/18.1/quartus/eda/sim_lib/altera_primitives.v}
#
#vlib verilog_libs/lpm_ver
#vmap lpm_ver ./verilog_libs/lpm_ver
#vlog -vlog01compat -work lpm_ver {d:/program/intelfpga_lite/18.1/quartus/eda/sim_lib/220model.v}
#
#vlib verilog_libs/sgate_ver
#vmap sgate_ver ./verilog_libs/sgate_ver
#vlog -vlog01compat -work sgate_ve
  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值