入门篇1-如何用Vivado写verilog代码

1.打开Vivado软件

2.创建工程项目

1.选择create Project

:---------:

2.点击Next

:---------:

3.设置工程文件名称和文件保存路径设置

这里推荐文件保存在其他盘,尽量减少C盘占用率
:---------:

4.文件类型选择

:---------:

5.添加文件

这里选择暂时不添加文件,选择NEXT
在这里插入图片描述
在这里插入图片描述

6.根据开发板型号选择相应配置

1. 这里以黑金Alinx ZYNQ7020为例

在这里插入图片描述

2. 选择Next

在这里插入图片描述

3.创建源文件

在这里插入图片描述
在这里插入图片描述
:---------:
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
至此就创建完成啦!!! 第一次写博客,不是很熟悉,哈哈哈!!

  • 11
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值