层次化设计_全加器

1.原理

2.代码

2.1  full_adder.v

module full_adder
(
	input  wire 	in_1  ,
	input  wire     in_2  ,
	input  wire     cin  ,
	
	output wire     sum		,
	output wire     count	
);

wire b0_sum;
wire b0_count;
wire b1_count;


half_adder half_adder_inst0
(
	.in_1 (in_1),
    .in_2 (in_2),
  
    .sum  (b0_sum),
    .count(b0_count)
);


half_adder half_adder_inst1
(
	.in_1 (cin),
    .in_2 (b0_sum),
  
    .sum  (sum),
    .count(b1_count)
);

assign count=(b0_count | b1_count);

endmodule

2.2 tb_full_adder.v

`timescale 1ns/1ns
module tb_full_adder();

reg in_1;
reg in_2;
reg cin;

wire sum;
wire count;

initial
	begin
		in_1<=0;
		in_2<=0;
		cin<=0;
	end
	
initial 
   begin
	$timeformat(-9,0,"ns",6);
	$monitor("@time %t:in_1=%b,in_2=%b,cin=%b,sum=%b,count=%b",$time,in_1,in_2,cin,sum,count);
	end
	
always#10 in_1 <= {$random}%2;
always#10 in_2 <= {$random}%2;
always#10 cin <= {$random}%2;

full_adder full_adder_inst0
(
	.in_1 	 (in_1),
    .in_2    (in_2),
    .cin     (cin),
			
    .sum	 (sum),
    .count   (count)
);

endmodule



评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值