FPGA课程作业::呼吸灯(按键控制)

FPGA课程作业

本次课程作业基于Intel 10M08SAM153C8G小脚丫 FPGA实验套件,选用key1 key2实现增减功能,key4作为rst按键,数码管输出选择LED,LED进行呼吸灯闪烁。

要求实现功能

使用Verilog HDL 以 行为描述和结构描述方式 编写程序 在 STEP FPGA 核心板上实现
呼吸灯 功能 具体要求如下 。

  • 1采用 PWM 原理 控制 8 路 LED 中一个 LED 的亮度随时间缓慢变化 约 2~3 秒 往复变化一
    次 。
  • 2使用 2 个按键 K 1 、 K 2 加减切换输入用于呼吸灯的 LED 编号 0 7 同时使用 1
    位数码管 以十进制形式显示 。
  • 3要求本程序中 LED 亮度控制功能 使用基础实验 2 中编写的程序例化实现 。

PWM生成module

module PWM_control
(
	input clk_in,
	input [23:0] PWM_duty,
	input [23:0] CLK_DIV_PERIOD,
	input rst,
	output reg LED_PWM
);

reg[23:0] cnt;


always @ (posedge clk_in or negedge rst)
begin
	if(!rst)
		cnt<=0;
	else if(cnt===(CLK_DIV_PERIOD-1))
		cnt<=0;
	else 
	begin
		cnt<=cnt+1;
		if(cnt>=PWM_duty)
		LED_PWM<=1;
		else
		LED_PWM<=0;
	end
end
endmodule

按键消抖

module debounce (clk,rst,key,key_pulse);
parameter N = 1; //要消除的按键的数量
input clk;
input rst;
input [N-1:0] key; //输入的按键
output [N-1:0] key_pulse; //按键动作产生的脉冲
reg [N-1:0] key_rst_pre; //定义一个寄存器型变量存储上一个触发时的按键值
reg [N-1:0] key_rst; //定义一个寄存器变量储存储当前时刻触发的按键值
wire [N-1:0] key_edge; //检测到按键由高到低变化是产生一个高脉冲
//利用非阻塞赋值特点,将两个时钟触发时按键状态存储在两个寄存器变量中
always @(posedge clk or negedge rst)
    begin
        if (!rst) begin
            key_rst <= {N{1'b1}}; //初始化时给key_rst赋值全为1,{}中表示N个1
            key_rst_pre <= {N{1'b1}};
        end
        else begin
            key_rst <= key; //第一个时钟上升沿触发之后key的值赋给key_rst,同时key_rst的值赋给key_rst_pre
            key_rst_pre <= key_rst; //非阻塞赋值。相当于经
            //过两个时钟触发,key_rst存储的是当前时刻key的值,key_rst_pre存储的是前一个时钟的key的值
        end
    end
assign key_edge = key_rst_pre & (~key_rst);//脉冲边沿检测。当key检测到下降沿时,key_edge产生一个时钟周期的高电平
reg [17:0] cnt; //产生延时所用的计数器,系统时钟12MHz,要延时20ms左右时间,至少需要18位计数器
//产生20ms延时,当检测到key_edge有效是计数器清零开始计数
always @(posedge clk or negedge rst)
    begin
        if(!rst)
            cnt <= 18'h0;
        else if(key_edge)
            cnt <= 18'h0;
        else
            cnt <= cnt + 1'h1;
    end
reg [N-1:0] key_sec_pre; //延时后检测电平寄存器变量
reg [N-1:0] key_sec;
//延时后检测key,如果按键状态变低产生一个时钟的高脉冲。如果按键状态是高的话说明按键无效
always @(posedge clk or negedge rst)
    begin
        if (!rst)
            key_sec <= {N{1'b1}};
        else if (cnt==18'h3ffff)
            key_sec <= key;
    end
always @(posedge clk or negedge rst)
    begin
        if (!rst)
            key_sec_pre <= {N{1'b1}};
        else
            key_sec_pre <= key_sec;
    end
assign key_pulse = key_sec_pre & (~key_sec);
endmodule

改变PWM占空比

周期为1s

always @ (posedge clk or negedge rst)
begin
    if(!rst)
        cnt_ms<=0;
    else if(cnt_ms===(12_000-1))
    begin
        cnt_ms<=0;
        ms<=ms+1;
        if(ms<1000)
        begin
            if(ms<500)
                pwm_duty<=pwm_duty+1;
            else
                 pwm_duty<=pwm_duty-1;
        end
        else
        begin
            ms<=0;
            pwm_duty<=0;
        end
    end
    else
        cnt_ms<=cnt_ms+1;
end

按键增减量记录

always @ (posedge clk or negedge rst)
begin
	if(!rst)
        number<=0;
    else if(key_pulse[0])
    begin
        if(number==7)
            number<=0;
        else
            number<=number+1;
    end
    else if(key_pulse[1])
    begin
        if(number==0)
            number<=7;
        else
        number<=number-1;
    end
end

最上层综合实现

module breath_led(
input [1:0] key,
input rst,
input clk,
output [8:0] led_num,
output [7:0] led_out,
output led_test
);
wire pwm_out;
wire [7:0] led_choose;
reg [23:0] pwm_duty;
reg [15:0] cnt_ms;
reg [11:0] ms;
reg [2:0] number=0;
wire [2:0]key_pulse;
parameter CLK_DIV = 12_00;
debounce up_debounce(.clk(clk),.rst(rst),.key(key[0]),.key_pulse(key_pulse[0]));
debounce down_debounce(.clk(clk),.rst(rst),.key(key[1]),.key_pulse(key_pulse[1]));
decoder	de(.in(number),.out(led_choose),.num_led(led_num));
always @ (posedge clk or negedge rst)
begin
    if(!rst)
        cnt_ms<=0;
    else if(cnt_ms===(12_000-1))
    begin
        cnt_ms<=0;
        ms<=ms+1;
        if(ms<1000)
        begin
            if(ms<500)
                pwm_duty<=pwm_duty+1;
            else
                 pwm_duty<=pwm_duty-1;
        end
        else
        begin
            ms<=0;
            pwm_duty<=0;
        end
    end
    else
        cnt_ms<=cnt_ms+1;
end
always @ (posedge clk or negedge rst)
begin
	if(!rst)
        number<=0;
    else if(key_pulse[0])
    begin
        if(number==7)
            number<=0;
        else
            number<=number+1;
    end
    else if(key_pulse[1])
    begin
        if(number==0)
            number<=7;
        else
        number<=number-1;
    end
end
PWM_control PWM_out(.clk_in(clk),.PWM_duty(pwm_duty),.rst(rst),.CLK_DIV_PERIOD(CLK_DIV),.LED_PWM(pwm_out));
assign led_test=pwm_out;
genvar i;
generate
for(i=0;i<8;i=i+1)
begin :led_out_pwm
    assign led_out[i]=pwm_out|led_choose[i];
end
endgenerate
// PWM_control PWM_out(.clk_in(clk),.sw(sw),.rst(rst),.LED_PWM(pwm_duty));
endmodule

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值