超简单FPGA呼吸灯设计

呼吸灯
控制一个LED灯在1s内从灭慢慢到亮,下一秒内从亮慢慢到灭。循环往复。
LED的亮度可以由点亮时间与熄灭时间长度来控制。一个毫秒里,亮0us,灭1000us,下一毫秒亮1us灭999us,下一下毫秒里,亮2us灭998us,在下一毫秒里,亮3us灭997us,依次进行下去,直到1000us亮,0us灭。
 

程序如下:

reg        [15:0]  i;                        //亮灯时间
reg        [15:0]  cnt_led;                    //计时器
localparam        T=50_000;     //1ms
reg flag=0;

always@(posedge CLKOUT0 ) 
    if(cnt_led==T-1) begin 
        cnt_led<=0;
        if(!flag) begin
            if(i>=T) flag<=1;
            else i<=i+50;
         end
         else begin
            if(i==0) flag<=0;
            else i<=i-50;
         end
    end
    else cnt_led<=cnt_led+1;
assign led8={8{cnt_led<i}};

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值