FPGA新起点V1开发板(九)——流水灯

一、模块框图

在这里插入图片描述

二、代码编写

  1. endmodule下面需要敲出一个回车
  2. 代码拼接是大括号 led <= {led[2:0],led[3]}
  3. 注意二进制和十进制
module flow_led(
    input            sys_clk50,
    input            rst_n,
    
    output reg [3:0] led
);

reg [23:0] cnt;

always @(posedge sys_clk50 or negedge rst_n)
begin
    if(!rst_n) // 低电平有效(为复位信号),此时计数器清零
        cnt <= 1'b0;
    else
        if(cnt < 24'd10000000)
            cnt <= cnt + 1'b1;
        else
            cnt <= 24'd0;
end

always @(posedge sys_clk50 or negedge rst_n)
begin
    if(!rst_n) // 低电平有效(为复位信号)
        led <= 4'b0001;
    else
        if(cnt == 24'd10000000)
            led <= {led[2:0],led[3]};
        else
            led <= led;
end

endmodule

三、注意点

在这里插入图片描述
等会查查这些按钮

在这里插入图片描述

添加项目的时候,可以根据之前的文章的步骤一步步来就行
对于硬件管脚分配,查看execl表格就行

四、总结

第一就是一些创建仿真流程有点生疏了,这个无所谓都是套路
第二就是数字进制和位宽的长度
第三就是变量的设置(寄存器类型等等,这个有点头疼,不知道应该在什么时候设置,什么地方设置)
第四的话,就是对于fpga这个东西是并行的有了一个粗浅的感觉,always就像一个主函数,几个always就像几个主函数并行运行,有点像中断的意思但也不是中断,就像进程的那种感觉。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

周末不下雨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值