SV中fork-join和他的小伙伴们

本文介绍了SystemVerilog中的fork-join结构及其变种,包括fork-join、fork-join_any和fork-join_none。fork-join用于启动并行进程并在所有进程完成后继续执行;fork-join_any在任一进程完成后继续执行;fork-join_none是非阻塞的,不等待进程完成。wait fork语句用于等待所有fork块中的进程完成,而disable fork则用于终止所有活跃的fork块进程。
摘要由CSDN通过智能技术生成

注:文章内容整理于Verification Guide网站,仅供学习使用,侵权即删。

Processes-fork_join

 

  • Processes

fork join

Fork-Join will start all the processes inside it parallel and wait for the completion of all the processes.

fork-join将会并行的执行块中的进程,当fork-join中的所有进程都执行完了,才会继续执行下面的语句。

fork join_any

Fork-Join_any will be unblocked after the completion of any of the Processes.

对于fork-join_any来讲,只要fork-join_any中任意一个进程执行完毕,就可以继续下面进程的执行。当然,fork-join_any中没有执行完的语句会继续执行。

fork join_none

As in the case of Fork-Join and Fork-Join_any fork block

  • 11
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值